Since 平成10/2/28
 

なひたふ 電子回路が大好きな趣味人「なひたふ」のWebサイト

ここは「技術士 なひたふ(電気電子)」がお送りする個人的Webサイトです。サイトの名称はなひたふ新聞に戻りました。

メインのコンテンツ

maruエレクトロニクス作品集

電子回路の芸術をめざします
◆おすすめ作品集◆
● 空中配線ロボット
● 超高速フーリエ変換機
● ウェルデン
maruソフトウェア
MITOUJTAG バウンダリスキャン
NAXJP JTAGプログラマ
XILOAD USBでFPGAコンフィグ 
maru電子回路の豆知識
電子回路のノウハウと便利帳
maruFPGAとVHDLのTips
ブロックRAM, CLKDLL, IEEE1284, ModelSIMなど

掲示板

電子回路技術相談掲示板

過去ログ 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 63

電子回路技術支援

特殊電子回路㈱では、技術士によるコンサルティングを行っております。電子回路に関するご質問・ご相談を承ります。詳しくはこちらを御覧下さい。
旧なひたふ製品(取扱終了)

NP1003 FPGA+USB+SDRAM
NP1005 コンフィグROMモジュール

リンク集

maru電子回路ホームページ
電子工作、電子回路設計関係の方々へのリンク集。簡単な解説付き。 
maru電子部品データシート
各社の電子部品データシートへのリンク集です 

雑多な記事

maruプリント基板を作ろう
半分ギャグです
maruFreeDIMM
 CPLDでDIMMを使う

相互リンク

なひたふ電子情報では、電子回路の役立つ情報を発信されている方との相互リンクを大歓迎です。
なひたふ電子情報バナー
メール
メールアイコン
御意見、御感想は
mail: nahitafu@nifty.com
フリーメールからの送信は受け付けておりません
Copyright
このWebページ上で紹介したすべての解説と回路図の描画に関する著作権は内藤竜治が所有します。無断転載を固く禁じます。
(C)Copyright 1999-2005 内藤竜治

 

新 着 情 報

 

 アルバイト募集のお知らせ

特殊電子回路ではアルバイトを募集します。詳しくはこちら

平成25年4月7日


 

 Digi-Key発注のテクニック

もはや、電子部品の購入では、Digi-Key抜きには考えられません。

そんなDigi-Keyへの発注テクニックを一挙公開。

Digi-Key注文のテクニック

 

平成24年2月14日

 


 

 あけましておめでとうございます

 

あけましておめでとうございます。

平成24年もよろしくお願いします。

今年はなひたふ新聞のほうも少しずつ更新していきたいと思います。

 

  まずは、基板年賀状のページを作りました。

基板年賀状


平成24年1月3日

 


 Spartan-6ボードとOV7670で作る「手作りCMOSデジカメ」

Spartan-6とOV7670で作るUSB-CMOSカメラ

 特電Spartan6ボードと市販のCMOSイメージセンサモジュールで、USB接続のデジカメを作りました。

配線をつなぐだけなので、とても簡単に作れます。

 

詳しくはこちら

平成22年10月14日


 Spartan-6評価ボード 大好評 販売中

 特電オリジナルのSpartan-6ボードの発売を開始いたしました。XC6SLX16を中心に、DDR2 SDRAMとUSB2.0 HighSpeedインタフェースを搭載。USBを通じて毎秒40Mバイトでデータを取り込めます。

 名刺より小さなサイズで、万能基板にもささるため、拡張も容易です。

 この評価ボードはカタログに載っているだけの手に入らないボードではありません。おそらく、現時点で世界中で唯一の
「本当に入手可能なSpartan-6評価ボード」
です。
 

 詳しくはこちら

平成21年9月30日


 ユーザサポートサイト 「JTAGひろば」を開設しました!

 ユーザサポートサイト「JTAGひろば」をオープンしました。ブログやWebサイトには書けない「秘密の技術情報」を信頼できるお客様にだけ提供したいという意思から生まれました。

 このサイトでは、製品使用方法についての問い合わせ改善要望はもちろん、面白い応用例をみつけたり、各種データファイルをダウンロードしたりすることができます。

 当サイトに報告していただいた不具合や改善要望は、皆様から見えるオープンな状態になりますので、当社としても誠心誠意を持って迅速に対応いたします。

 当サイトのご利用は基本的にすべて無料です。当社のも含め、広告は一切ございません。

 新規のユーザ登録はこちらから。

 

平成22年1月18日


img1.jpg トラ技付録 78K0基板 私的サポートページ更新

 トランジスタ技術2008年8月号には、78K0 USBマイコン基板が付録しています。私、なひたふが特集記事を執筆させていただきました。記事には書ききれなかった、付録基板を活用する上での技術情報、ノウハウ、応用例などを紹介します。

 このたび、78Kマイコンを使ったUSB-JTAG書き込みアダプタの回路や内蔵高速RAMの使用方法をはじめ、その他いろいろな話題を追記しました。
 

Lattice XP2用、78K-USB-JTAGプログラマをリリースしました

78K-USB-JTAG以外に、XILINXやALTEAR、LATTICEのパラレルケーブルでも書き込めます。

 

 トラ技付録78K基板を使おう

最終更新日 平成22年5月11日

 


なひたふJTAG日記 ブログ 「なひたふJTAG日記」のご案内

 ブログ「なひたふJTAG日記」では、JTAGやFPGAと格闘した日々の結果や、さまざまな電子回路の実験などを書き綴っています。

 「JTAG日記」 http://nahitafu.cocolog-nifty.com/

 弊サイトのコンテンツでは最も頻繁に更新されています。
 どうぞご覧下さい。

平成18年1月22日


 

square30_green.gif Interface誌 JTAG連載のご案内
 

 このたび、Interface(CQ出版社) 2005年2月号から10月号にかけて、「JTAG徹底活用研究」の連載を執筆させてだきました。精一杯書かせていただきました。

 

 また、Interface(CQ出版社) 2009年1月号から、PCI ExpressのIPコアを開発するという趣旨の連載を執筆させていただいております。暖かいご声援よろしくお願いします。

 

 

 

 

Copyright(c)1998-2006 Nahitafu All rights reserved