なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: NAXJP for Windows コメント数:  4件
  クローバー 2003/08/19 (火) 11:24
> NAXJPをWindowsで使うツールを作りました。
>
> このツールを使うと、完全フリーな環境で、
> グラフィカルユーザインタフェースの下、
> XILINXのCPLDやEEPROMのコンフィグを行えます。

なひたふさん、こんな素晴らしいツールを作ってくださって、
有難うございます・・・。
最強のフリーJTAGツールですね。

これで純正ツールの煩わしい操作から開放され、
FPGAの書込みがとても楽になりました。
おかげさまで効率100倍です。
紹介Webサイト公開も楽しみにしています。

> なお、今月末、NAXJPに関する重大発表がありますので、ご期待ください。
どんな発表でしょう??とても楽しみにしています(^^)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re^2: CPLDの出力電流について コメント数:  0件
  物理部員 2003/08/18 (月) 23:15
なひたふさん、ありがとうございました。
吐き出し時に13mA流そうとしていたので助かりました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^5: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  0件
  shirou   | a_u2003@hdl.co.jp 2003/08/18 (月) 19:54
>  各インバータの入力を「ピンフィードバック」からとれば、どんなインバーターでも動く回路だと思います。
>  XC95シリーズでは、デフォルトで入力ピンにレベルKeeperが入るので、こいつが邪魔するのかもしれませんね。
そうですね、なんとか発振させられるかも知れません。
ぼくは、すぐにあきらめてしまいました。
#xilinxのツールにもなれてませんでしたし。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^4: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  1件
  さとう 2003/08/18 (月) 19:27
> http://www.hdl.co.jp/max_rcosc.html
> xilinxの9500シリーズはi/o部分が複雑なためか、発振しませんでした。
 各インバータの入力を「ピンフィードバック」からとれば、どんなインバーターでも動く回路だと思います。
 XC95シリーズでは、デフォルトで入力ピンにレベルKeeperが入るので、こいつが邪魔するのかもしれませんね。
 レベルKeeperは、Implement Design(property)->Basic->I/O Pin TerminationオプションでFloatに変更出来ます。
 同オプションのMacrosell Power Setting = Low も効果があるかもしれません。

 手元にある本では、フィードバックはインバーターを3段通過した所から取られています。(CQ出版 定本 発振回路の設計と応用 P65)
 それと、周波数が最大100kHzとのことですが、この様な回路では数百KHz〜数MHzで発振させて、デジタル回路で分周した方が安定するように思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re: アナデバ社のサンプルオーダーの伝票について コメント数:  3件
  TOS 2003/08/18 (月) 18:14
> 以前、この掲示板で’アナログデバイス社のサンプルオーダー’についてお世話になりましたBunnyPop irieです。
>
> その節はアドバイスいただきありがとうございました。
> その後、サンプルをオーダーすることが出来まして、今日荷物が届きました。
>
> 伝票(Commercial Invoice)というものが貼ってあり疑問に思う点がでたのでアドバイス願います。
>
> お聞きしたいことは、その伝票に記載されていた
> UN PRICE $USD 10.28
> TOT PRICE $USD 20.56(二つたのんだのでUN PRICEの倍のようです)
> PL TOTAL $USD 20.56
> そして
> PAYMENT TERMS
> net 30 days
> についてです。
>
> サンプルオーダーなので無料だったと思うのですが(実際ネットオーダーではPRICEはすべて0でした)
> このお金は払わなくてはいけないのでしょうか?
> もし払うのであればどのようにして支払うべきものなのでしょうか?
>
> もしご存知の方いらしたら教えてください。

関係者でもない他人に聞くより、アナログデバイスに聞いた方が確実ではないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 アナデバ社のサンプルオーダーの伝票について コメント数:  4件
  bunnypopirie   | c346505k@tobata.isc.kyutech.ac.jp 2003/08/18 (月) 17:35
以前、この掲示板で’アナログデバイス社のサンプルオーダー’についてお世話になりましたBunnyPop irieです。

その節はアドバイスいただきありがとうございました。
その後、サンプルをオーダーすることが出来まして、今日荷物が届きました。

伝票(Commercial Invoice)というものが貼ってあり疑問に思う点がでたのでアドバイス願います。

お聞きしたいことは、その伝票に記載されていた
UN PRICE $USD 10.28
TOT PRICE $USD 20.56(二つたのんだのでUN PRICEの倍のようです)
PL TOTAL $USD 20.56
そして
PAYMENT TERMS
net 30 days
についてです。

サンプルオーダーなので無料だったと思うのですが(実際ネットオーダーではPRICEはすべて0でした)
このお金は払わなくてはいけないのでしょうか?
もし払うのであればどのようにして支払うべきものなのでしょうか?

もしご存知の方いらしたら教えてください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^4: Verilog-AMS コメント数:  0件
  bunnypopirie   | c346505k@tobata.isc.kyutech.ac.jp 2003/08/18 (月) 12:39
軽石さん、ありがとうございます。
使い方とか分かってきたらHPにアップしてみようと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 NAXJP for Windows コメント数:  5件
  なひたふ 2003/08/18 (月) 05:35
NAXJPをWindowsで使うツールを作りました。

このツールを使うと、完全フリーな環境で、
グラフィカルユーザインタフェースの下、
XILINXのCPLDやEEPROMのコンフィグを行えます。

http://www.nahitech.com/nahitafu/naxjp/njww-01.png

まだ、β版なのでバグ等もあるかと思いますが、
今後2、3日で改善していきます。

以下の点が特徴です。
★ GUIを備えている
★ マウスだけで操作可能(Gridをダブルクリックしてみて)
★ 起動が高速
★ 最後に選択したファイルやフォルダを覚えていてくれる
★ JTAGチェーンの設定を保存可能。再読み込み可
★ 16個のデバイスまで対応

iM**ctよりもわかりやすい操作体系を目指しました。

以下のURLからダウンロードしてください。
http://www.nahitech.com/nahitafu/naxjp/njww-01.lzh

基本的にはラッパなので、NAXJPの全操作はサポートしていませんが、
良く使われるCPLD/FPGAの書込みはサポート済みです。

なお、今月末、NAXJPに関する重大発表がありますので、ご期待ください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re: CPLDの出力電流について コメント数:  1件
  なひたふ 2003/08/18 (月) 05:34
> はじめまして。CPLD(XC95108−PC84)の最大出力電流はデータシートより24mAと解かるのですが、これは吸い込み電流も吐き出し電流もなのでしょうか?

データシートの、推奨動作条件でのDC特性というところを見てください。
VOHという項目と、VOLという項目がありますよね。
その右に、TestConditionのという欄があって、
そこに、IOHとIOLがあります。

結論からいうと、VCCが5Vのとき、吐き出し時には4.0mA、吸い込み時24mAです。
ただし、4.0mAの電流を吐き出すと、出力電圧が降下して2.4Vまで
下がってしまいます。ぎりぎりHレベルとみなせる最大出力電流が4.0mAという
ことです。吸い込みも、24mA吸い込むとLレベルの出力が上昇してしまい、
0.5Vになってしまいます。

出力電流を大きくすると、本来の出力電圧から離れていってしまいますので、
微妙な動作を行う回路を設計する場合には、出力電流-電圧のグラフを
参照してください。

XILINXのWebサイトに
「XAPP150 - 各種デバイス ファミリのための I/V カーブ (英語版) 」
というのがあるので、参照してください。

http://direct.xilinx.com/bvdocs/appnotes/xapp150.pdf


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 CPLDの出力電流について コメント数:  2件
  物理部員 2003/08/17 (日) 23:50
はじめまして。CPLD(XC95108−PC84)の最大出力電流はデータシートより24mAと解かるのですが、これは吸い込み電流も吐き出し電流もなのでしょうか?使い始めたばかりなのでよくわかりません。ご存知の方、よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^7: ModelSimでのエラー コメント数:  0件
  とみゅ 2003/08/17 (日) 11:25
> ライブラリの指定または作成することに関しては
> 試しているところです。

一回、既存のプロジェクトファイルをよそから持ってくるんじゃなくて、新規にゼロから作成して、ごくごく簡単なものをシミュレーションさせてみてはいかがでしょう?
というのは、過去に自分が遭遇した同様のケースは、一度解決すると新規にプロジェクトを作成しようが、他所から別のプロジェクトを(ディレクトリ丸ごとですが)持ってこようが再発しなかったので。特に後者は最初に発生したときと同じ条件だと思ってたんですが、発生しませんでした。
#だからすでに動く環境の人にはわかりにくい問題なんだと思いますが・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re^6: ModelSimでのエラー コメント数:  1件
  てつお 2003/08/17 (日) 03:48
とみゅさん
ご意見ありがとうございます。

> イメージとしては、↓に書かれている内容が近かったような気がします。
> http://hdllib.tripod.co.jp/cad/FPGA2/

上記のサイトを参考に進めたところ
Compileまではできるのですが、DesignのLoadで
前述のエラーが出てしまいました。

> work.lib とかなんかそんなの感じのライブラリを作るか指定してやるか、
>そんな感じで解決した覚えがかすかに・・・。

ライブラリの指定または作成することに関しては
試しているところです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^3: Verilog-AMS コメント数:  1件
  軽石 2003/08/16 (土) 18:56
bunnypopirieさんこんにちは
丁寧なレスありがとうございました。

urlをアップし忘れていました。(^^;

 
>  Verilog-HDLの本を探しにいったのですが、たまたまその本がなかったという事と、アナログ、ディジタル混在回路について書いてあったVerilog-AMSの本を見つけまわりの本よりとっつきやすかった事から、Verilog-HDLではなくVerilog-AMSになった次第です。

Verilog-AMSだと書籍もさらに少なさそうなのでHDLでやるのがよろしいとは思いますが
やりたいというのであれば紹介しない訳にはいきませんね。

私が見つけたのは以下のurlですが、どうすればダウンロードできるのかわかりません。
(きっちり見ればよいのかもしれませんが、落ち着いて見るほど時間がないので・・・・。(--;)

うまくいったら手順などをフリーのWebで紹介していただけると私としてもありがたいです。

シンボル、シグナルなどの制限はあるようですが、機能としてはすべて試せるようです。
がんばって使いこなしてWebで紹介していただけるとありがたいです。m(_._)m

http://www.dolphin.fr/medal/smash/smash_download.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^5: ModelSimでのエラー コメント数:  2件
  とみゅ 2003/08/16 (土) 17:52
自己レスです。

> ModelSim PE での話だけど、そのエラーメッセージを見たことがあるような気がします。確かライブラリ関係のエラーだったような・・・。
> work.lib とかなんかそんなの感じのライブラリを作るか指定してやるか、そんな感じで解決した覚えがかすかに・・・。

イメージとしては、↓に書かれている内容が近かったような気がします。
http://hdllib.tripod.co.jp/cad/FPGA2/
各設定項目を確認してみてください。
あと、コンソールウインドウの "vlib work" というのが気になったりするんですけど、ModelSim XE が入っていたPCが再インストール作業中で確認できないです・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^4: ModelSimでのエラー コメント数:  3件
  とみゅ 2003/08/16 (土) 17:31
> >まずはなひたふさんの紹介されている手順でやってみてください。
> Project Device Options以外、同じようにやりましたが結果は同じでした。

ModelSim PE での話だけど、そのエラーメッセージを見たことがあるような気がします。確かライブラリ関係のエラーだったような・・・。
work.lib とかなんかそんなの感じのライブラリを作るか指定してやるか、そんな感じで解決した覚えがかすかに・・・。

#うろ覚えなので、外してたらごめんなさい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re^2: Verilog-AMS コメント数:  2件
  bunnypopirie   | c346505k@tobata.isc.kyutech.ac.jp 2003/08/16 (土) 14:42
回答ありがとうございます、軽石さん。

> ところでなぜVerilog-AMSに興味を持ったのか教えていただけないでしょうか?


 興味をもつきっかけは、同じDesignWaveの出版物でシグナルインテグリティ入門というのを読んでからなのですが、高周波のパルス信号に対するインテグリティをアナログ的に検証することに興味を覚えました。
 
 いろいろ模索しているうちに、就職した先輩から今やっている仕事がそれで、Verilog-HDLを使っていると言われたのでVerilog-HDLについて勉強しようと思ったからです。
 
 Verilog-HDLの本を探しにいったのですが、たまたまその本がなかったという事と、アナログ、ディジタル混在回路について書いてあったVerilog-AMSの本を見つけまわりの本よりとっつきやすかった事から、Verilog-HDLではなくVerilog-AMSになった次第です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re^3: ModelSimでのエラー コメント数:  4件
  てつお 2003/08/16 (土) 13:50
>まずはなひたふさんの紹介されている手順でやってみてください。
Project Device Options以外、同じようにやりましたが結果は同じでした。

また、Synthesize, Implement Design,
Genaerate Programming Fileはできます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re^2: ModelSimでのエラー コメント数:  5件
  てつお(旧 CPLD初心者) 2003/08/16 (土) 13:13
> 勉強が進んで初心者ではなくなった場合名前はそのままですか?
> 質問する場合にはちゃんとした名前の方がレスが付きやすいですよ。
掲示板に書き込むのも初心者なのもので、ご指摘ありがとうございます。
改名致しました。

> まずはなひたふさんの紹介されている手順でやってみてください。
> コンパイルとか出来るソースかそれすらわからないではどこが悪いか検討もつかない。
ご意見ありがとうございます。
さっそく、試してみます。

ただし、今回ModelSimでエラーの出たソースは
本に付属していたCDに収録されていたコードです。

また、一応開発環境について説明しますと
OS:Windows2000
WebPack ISE:バージョン5.2(完全なCPLDツール), サービスパック3をインストール済み
Model Sim:WebPackと同時にダウンロード(MXE_5.6e_CPLD_installer)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re: Verilog-AMS コメント数:  3件
  軽石 2003/08/16 (土) 11:52
> Verilog-AMSというものを勉強しようと思うのですが、Verilog-AMSのシミュレーターはフリーであるのでしょうか?

あるみたいですが、どうすれば入手できるか英語が苦手なのでわかりません。(--;
ところでなぜVerilog-AMSに興味を持ったのか教えていただけないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: ModelSimでのエラー コメント数:  6件
  軽石 2003/08/16 (土) 11:14
こんにちはCPLD初心者さん

勉強が進んで初心者ではなくなった場合名前はそのままですか?
質問する場合にはちゃんとした名前の方がレスが付きやすいですよ。

> ISE WebPACKとModelSim XE Starterをインストールして、
> VHDLソースの記述、HDL Bencherによりテストベンチを作成を行い、
> ModelSim SimlatorのSimulate Behavioral VHDL Modelをダブルクリックすると
> シミュレータが立ち上がるのですが、
> #Error loading design
> と表示されシミュレーションが行えません。

designがロードできないというエラーの意味が判りますか?
初心者さんが記述を間違えている事はないですか?
記述ミスは初心者さんしか見つける事ができません。

> 解決方法をご存知の方がいらっしゃいましたら
> 教えて頂けないでしょうか?
> よろしくお願い致します。

まずはなひたふさんの紹介されている手順でやってみてください。
コンパイルとか出来るソースかそれすらわからないではどこが悪いか検討もつかない。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Verilog-AMS コメント数:  4件
  bunnypopirie   | c346505k@tobata.isc.kyutech.ac.jp 2003/08/15 (金) 18:57
Verilog-AMSというものを勉強しようと思うのですが、Verilog-AMSのシミュレーターはフリーであるのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^3: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  2件
  shirou   | a_u2003@hdl.co.jp 2003/08/15 (金) 18:47
こんにちは、下記ページの回路はアルテラから出ていたアプリケーションノート
に基づいています。
http://www.hdl.co.jp/max_rcosc.html
xilinxの9500シリーズはi/o部分が複雑なためか、発振しませんでした。
昔のpalやgalでも同様のアプリケーションが可能でした。
公開しませんでしたが、LC発振にして、金属探知機のようなものも動作しましたよ。
Coolrunnerはアルテラとピン互換だし、もしかすると発振するかも。
cpldもワンチップマイコンのように発振回路が内蔵でもいいとおもいますね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 ModelSimでのエラー コメント数:  7件
  CPLD初心者 2003/08/15 (金) 18:21
はじめまして、CPLDの勉強を行おうと考えている者です。

ISE WebPACKとModelSim XE Starterをインストールして、
VHDLソースの記述、HDL Bencherによりテストベンチを作成を行い、
ModelSim SimlatorのSimulate Behavioral VHDL Modelをダブルクリックすると
シミュレータが立ち上がるのですが、
#Error loading design
と表示されシミュレーションが行えません。

解決方法をご存知の方がいらっしゃいましたら
教えて頂けないでしょうか?
よろしくお願い致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^8: NP1003BでXC2S100をJTAGから外したい コメント数:  0件
  とみゅ 2003/08/15 (金) 02:56
 http://members.jcom.home.ne.jp/tomyu/
> また、naxjpでもNGでしょうか?

ようやく試すことができましたのでご報告します。
giveioのインストールを避けたかったので、別のPCですが、以下のような出力になりました。

[Windows95 Noteの結果] - Win98 Desktop PC でも同じ表示でした。
C:\TEMP>naxjp -getid XC18V01 -getid XC2S100

NAXJP Ver 0.7.7 beta for Windows 95/98/2000/XP (C)Copyright 2003 Nahitafu
Device Chain 0. Command 'getid'. Device 'XC18V01'. File 'XC18V01'
Device Chain 1. Command 'getid'. Device 'XC2S100'. File 'XC2S100'
Device error:Device 1 TDO value is not equal, expected:01, actual:00
Device error:Device 2 TDO value is not equal, expected:01, actual:01
Device error:JTAG device is not connected correctly. Please check cable connection and power supply.
Note:Terminating procedure by occuring errors... (bypass-first)

【長さ制限に引っかかるので以下略】

う〜ん、謎だ・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^3: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  0件
  TOS 2003/08/15 (金) 00:02
> > こんばんは
> >
> > ヒューマンデータさん(http:/www.hdl.co.jp/)のページが
> > 参考になるのではないでしょうか?
> > ↓
> > http://www.hdl.co.jp/etc_c.html
>
> 一度クロックを外に出してもう一度中に戻すなどしないときれいなクロックが
> 得られないのでしょうか・・・


遅延させるため
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^2: CPLDをCR発振で動かしたい コメント数:  0件
  Liki 2003/08/14 (木) 22:31
>
> > clk
> > |-not>--not>--
> > 5R   R   C
> >  -------------
>
> 初段の入力からCLKを取っているようですが、
> 此処は、アナログ的な動作なのでノイズに弱くなります。
> 2段目の出力からCLKを取れば良いのではないでしょうか。

VHDLの記述では, 図中clk端子をCLK, 中心をOSC1, 右端をOSC2とすると,

OSC1 <= not CLK;
OSC2 <= CLK;

と, いう具合にしてあります. こういう時だと, ひとつシグナル(INTSIGとします)を増やして

INTSIG <= not CLK;
OSC1 <= INTSIG;
OSC2 <= CLK;

と, いった具合にして, INTSIGをクロックとして扱えばよいでしょうか.

※本当は自分で試してみればよいのですが, お盆なのであと数日間, 開発環境が手元に無いため実験できず申し訳ないです.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re: CPLDをCR発振で動かしたい コメント数:  1件
  タンタン 2003/08/14 (木) 22:08

> clk
> |-not>--not>--
> 5R   R   C
>  -------------

初段の入力からCLKを取っているようですが、
此処は、アナログ的な動作なのでノイズに弱くなります。
2段目の出力からCLKを取れば良いのではないでしょうか。
何か、そうできない理由があるのでしょうか。
その場合でも、2段目の入力からCLKを取れば大丈夫かもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re^3: CPLDをCR発振で動かしたい コメント数:  0件
  軽石 2003/08/14 (木) 17:35
> 最初の投稿時に書いておかなかったので恐縮なのですが, XC0536/9572では
> シュミットトリガは使えない・・・のでしょうか?

データシートを見るとわかる事だと思いますが?
ほかの方が回答しているとおりだとするとだめみたいですね。

> こういった'高速な'デジタルICを利用するときは, その周りとのインターフ
> ェイスはどういった感じにしておけばよいのでしょうか. 全入力ピンにシュミ
> ットトリガのバッファを設けたりするとPLDより遙かにでかくなりそうです・・・

インターフェースは普通で問題ないです。

今回のようにクロック発振で使おうとするので問題になっているだけです。
シュミットトリガーなどのバッファが必要なのはノイズの多い環境です。
(信号の変化が遅い信号にだけ使えばなんの問題もありません)

CPLDのおかげで回路変更がソフトで簡単に修正できるようになって私は非常に
ありがたいです。

紹介されているヒューマンの発振はMHzでしたのでうまくいっているのでしょう。
今回のように遅いクロック(波形の立ち上がりも遅い)だと問題になりやすいのかもしれません。

ためしにクロックを早くするとどうでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^2: デジタルオシロ コメント数:  0件
  ぷりん 2003/08/14 (木) 16:33
chan さん、どうもありがとう!

> 2014買うときちょっと背伸びして3000Bシリーズにでもしておけば...。
> といっても後の祭りですか(^_^;。

その通りでしたね!何かにつけ、いつもそうなんです。

> 私は3032Bを持っていますけど、デジタル臭さが無くて良い感じです。
> webブラウザで波形取れるし、バッテリ駆動もできますし...。バッテリ
> を使わないときはプローブや小物が収納できるので持ち運びも楽。
> けっこうオススメ :-)

他にアナログオシロや古い測定器がいっぱいあるので、ちょっと整理して3000Bシリーズも含め、再検討します。ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^3: CPLDをCR発振で動かしたい コメント数:  0件
  タンタン 2003/08/14 (木) 13:22
タンタンです。

>
> 最初の投稿時に書いておかなかったので恐縮なのですが, XC0536/9572では
> シュミットトリガは使えない・・・のでしょうか?

CoolRunner-II シリーズには有るようですが、
9500シリーズには無さそうですね。

東芝の、TC7S14でも乗せるのが良いんじゃないですか。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re^2: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  4件
  Liki 2003/08/14 (木) 12:29
> こんばんは
>
> ヒューマンデータさん(http:/www.hdl.co.jp/)のページが
> 参考になるのではないでしょうか?
> ↓
> http://www.hdl.co.jp/etc_c.html

一度クロックを外に出してもう一度中に戻すなどしないときれいなクロックが
得られないのでしょうか・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re^2: CPLDをCR発振で動かしたい コメント数:  2件
  Liki 2003/08/14 (木) 12:26
> CPLDで、シュミットトリガーか使用可能ならば、
> 簡単な発振回路が作れます。

最初の投稿時に書いておかなかったので恐縮なのですが, XC0536/9572では
シュミットトリガは使えない・・・のでしょうか?


こういった'高速な'デジタルICを利用するときは, その周りとのインターフ
ェイスはどういった感じにしておけばよいのでしょうか. 全入力ピンにシュミ
ットトリガのバッファを設けたりするとPLDより遙かにでかくなりそうです・・・
あまりこうした話の載っているサイトなどはまだ見つけていないので, ブレッ
ドボード上で74HCシリーズのICなんかをジャンパでぴょんぴょんしていた時との
ギャップに戸惑っています.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: CPLDをCR発振で動かしたい コメント数:  0件
  Liki 2003/08/14 (木) 12:18
>  私もCPLD(CoolRunner)でCR発振を試してみた事があります。
>  外部で測定した周波数はCRの理論値でしたが、CPLD内部では8倍の周波数として動いていました。
>  なのでCR発振の場合は内部で8分周していましたが、CR部品を指で触れるだけで発振が止まるので”実験”以外ではお薦めできません。

当方では周期もまちまちで安定しておりません・・・ 5RをCPLDの直近に付けるなど(直接半田付けとか)配慮しないとノイズが乗るのでしょうか.

とりあえず, 外部に555(か, 74のシュミットトリガのIC)でも載せることにします.

※5Rを通した入力をそのままクロックにするのでなく, 一度クロックを外に
引き出してからまたクロック端子に入れる(^^;? などするとどうなんでしょう.


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re^2: CPLDをCR発振で動かしたい コメント数:  0件
  Liki 2003/08/14 (木) 12:06
> 条件の説明が不足しています。
> 以下の点について報告するべきですね。

申し訳ありません. 型番くらいは書くつもりだったのですが
忘れてしまいました・・

> 使用したCPLDの型版は?

使用しているのはXC9536の5V版です. 今は実験ですが実際にはXC9572の5V版
を使うと思います.


> 使用したピン番号は?

XC9536の 3, 4, 5ピンです. 5ピンがFclk0で, 5Rの抵抗を接続しています.


> CRの値は?(何KHzで発振させるつもりですか?)

最大で100kHz程度と考えていました. 1kや10kでも実験していますが似たような
動作をしています.


> クロックの立ち上がり速度はどの程度でしたでしょうか?

Fclk0端子の入力は, CRの充放電の波形になるのでとてもエッジと呼べた
ものではありません. この辺がまずいのかな, とは思うのですが・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re: CPLDをCR発振で動かしたい コメント数:  3件
  タンタン 2003/08/14 (木) 07:31
こんにちは、

> はじめまして.
> 最近からXilinxのCPLDをいじり始めた者です.
>
> 現在, CPLDのクロックに次の様なCR発振回路を利用しようと思っているので
> すが, いまいち正しく動作しておりません.

CPLDで、シュミットトリガーか使用可能ならば、
簡単な発振回路が作れます。

下記を参照してください。
http://plaza12.mbn.or.jp/~audio/fbeep/frob_01.htm

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re: CPLDをCR発振で動かしたい コメント数:  1件
  SAKA@FREE WING 2003/08/14 (木) 03:28
 http://hp.vector.co.jp/authors/VA014069

> not素子の代わりにCPLDの入出力ピン3つを使用して同じような形を再現した
> ところ, 図中央, および右側の出力端子(RとCにつながっている出力端子)では
> それなりに設計値近くの発振が認められました. しかし, 内部で扱われるクロックの数はその値よりもかなり多い様で, その周期もまちまちです.

 私もCPLD(CoolRunner)でCR発振を試してみた事があります。
 外部で測定した周波数はCRの理論値でしたが、CPLD内部では8倍の周波数として動いていました。
 なのでCR発振の場合は内部で8分周していましたが、CR部品を指で触れるだけで発振が止まるので”実験”以外ではお薦めできません。

回路図は下記参照ください。
http://freewing-web.hp.infoseek.co.jp/ltrace/

また、トラ技2002年11月号のP148にCoolRunnerでのCR各種値と実際の発振周波数の表があります。
>>特集 新アイディア・ツール製作集
>>ロジック・トレース・アダプタ

 要望があればスキャン画像を用意します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re: 追記(Re: CPLDをCR発振で動かしたい) コメント数:  5件
  なひたふ 2003/08/14 (木) 03:27
こんばんは

ヒューマンデータさん(http:/www.hdl.co.jp/)のページが
参考になるのではないでしょうか?

http://www.hdl.co.jp/etc_c.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re: CPLDをCR発振で動かしたい コメント数:  1件
  軽石 2003/08/14 (木) 01:13
こんにちはLikiさん

> 現在, CPLDのクロックに次の様なCR発振回路を利用しようと思っているので
> すが, いまいち正しく動作しておりません.
>
> not素子の代わりにCPLDの入出力ピン3つを使用して同じような形を再現した
> ところ, 図中央, および右側の出力端子(RとCにつながっている出力端子)では
> それなりに設計値近くの発振が認められました. しかし, 内部で扱われるクロックの数はその値よりもかなり多い様で, その周期もまちまちです.

> CLK入力の電圧の変化がゆっくりしているのが悪いのかなとも思うのですが,
> はっきりした理由と対策が解りません. もし心当たりがありましたらご教授
> ください.

条件の説明が不足しています。
以下の点について報告するべきですね。

使用したCPLDの型版は?
使用したピン番号は?
CRの値は?(何KHzで発振させるつもりですか?)
クロックの立ち上がり速度はどの程度でしたでしょうか?
(発振が遅い分は問題ないと思いますが、立ち上がり速度が遅くなると問題でしょう)(不定期間が長いと正しく動けません)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re: デジタルオシロ コメント数:  1件
  chan 2003/08/14 (木) 00:53
どうしてもパソコンでデータ処理したいというのでなければ、測定器と
いうのはスタンドアロンが良いと思います。私だったら選ばないですね。
2014買うときちょっと背伸びして3000Bシリーズにでもしておけば...。
といっても後の祭りですか(^_^;。

私は3032Bを持っていますけど、デジタル臭さが無くて良い感じです。
webブラウザで波形取れるし、バッテリ駆動もできますし...。バッテリ
を使わないときはプローブや小物が収納できるので持ち運びも楽。
けっこうオススメ :-)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 追記(Re: CPLDをCR発振で動かしたい) コメント数:  6件
  Liki 2003/08/13 (水) 23:38
※前の投稿の追記です.

発振回路には, 当然, 市販のXTAL発振器のICなどを利用してもよいのですが,
部品の調達の都合, 及び, 現在取り組んでいるテーマではクロック周波数など
に関してそれほどの安定性が要求されないことより, 部品調達が容易で実装面
積が小さくなりそうなCR発振をと考えました.

よろしくお願いします.

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 CPLDをCR発振で動かしたい コメント数:  17件
  Liki 2003/08/13 (水) 23:34
はじめまして.
最近からXilinxのCPLDをいじり始めた者です.

現在, CPLDのクロックに次の様なCR発振回路を利用しようと思っているので
すが, いまいち正しく動作しておりません.

clk
|-not>--not>--
5R   R   C
 -------------
(図が適当で申し訳ありません.
二つのNOTゲートとC,Rを用いた一般的なCR発振回路です)

not素子の代わりにCPLDの入出力ピン3つを使用して同じような形を再現した
ところ, 図中央, および右側の出力端子(RとCにつながっている出力端子)では
それなりに設計値近くの発振が認められました. しかし, 内部で扱われるクロックの数はその値よりもかなり多い様で, その周期もまちまちです.

出力は, クロックを分周して周波数が落ちたものがでるようにしたもの
(簡単なPWM制御出力になっています)を観測しています. この部分は入力に
発振器からの矩形波を用いれば正常に動作しています.

CLK入力の電圧の変化がゆっくりしているのが悪いのかなとも思うのですが,
はっきりした理由と対策が解りません. もし心当たりがありましたらご教授
ください.


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re^2: デジタルオシロ コメント数:  0件
  ぷりん 2003/08/13 (水) 09:48
ぷりんです。
アドバイスありがとうございます。

> やっぱり小型という意味では TDS1012 とか、TDS2012 あたりが欲しい。
> といいながら、私はPCにつながるロジアナだけで十分です。

実はTDS2014(カラー4cH100MHz)とTDS460A(モノクロ4cH400MHz)を個人で使っていますが、2014は液晶の視野角が大きくかつ100MHzではパルス波形等では、評価に誤りがしばしば起こります、(単純に帯域と液晶の応答速度の差?)
2014は460Aの後に購入したのですが、今は、やはり460Aを使っています。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re: デジタルオシロ コメント数:  1件
  森 秀樹 2003/08/13 (水) 02:13
> 以下のURLで便利そうなデジタルオシロを見つけましたが、そこそこ
http://vlink.vwalker.com/goku/goku49.html
に使っている例がのっています。ただ、PCからのノイズを受けるというのは
使い方が悪い。200MHz の機器で200MHz 見れないとか、そういうのは
あたりまえなので,悪い評価ではない。
私も興味があって調べた事がありますが、
2chのモードにすると100MHzになります。あと、熱をけっこう持つらしい。
こういうツールは、やはり単体の方が使いやすいのではないでしょうか。
ただ、WEBに結果のっけたり、レポート書くときに便利です。特に印刷が出来たり
すると、非同期のシリアル信号を印刷したものから、クロック出して見たり
と別の意味で便利です。
やっぱり小型という意味では TDS1012 とか、TDS2012 あたりが欲しい。
といいながら、私はPCにつながるロジアナだけで十分です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re^3: デジタルオシロ コメント数:  0件
  kitten 2003/08/13 (水) 00:19
こんにちはぷりんさん

> 軽石さん、アドバイスありがとうございます。
> 仕事はLSIの回路設計ですが、趣味で自宅で真空管アンプなどを設計制作など
> していて、趣味で使うオシロです。

アナログ程度であればこれで十分だと思います。



> ご指摘通り、確かに波形取り込み能力はそこそこあるように思いますが、表
> 示能力がいまいちのように思います。
> やはり10万円前後では”安物買いの銭失い”になりそうですね!
> 考え直します。

いえいえ、できれば人柱になっていただけるとありがたいのですが・・・・。(^^;

> レクロイの500MHz以上の4cHカラーデジタルだと300万以上するのではないで
> すか?頑張って下さい。
> ありがとうございました。

そんなお金あったら苦労しませんし、現行機種はオークションにでません。

100〜200MHz程度のモノクロ版が10万円程度で出ているので
欲しいなと思っています。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re: デジタルオシロ コメント数:  0件
  shirou   | a_u2003@hdl.co.jp 2003/08/12 (火) 23:07
トラ技にでていた、198000円のカラーデジタルオシロです。
http://www.adwin.com/oscil/ds3102b/note_01.html
中国製かな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re^2: デジタルオシロ コメント数:  1件
  ぷりん 2003/08/12 (火) 20:59
> こんにちはぷりんさん

> ぷりんさんはオシロは何の測定に使われていますでしょうか?
>
> コンパクト、安価な物はそれなりの性能しかありません。
> 私は性能重視にしたいので、ヤフオクでレクロイの出物にチャレンジします。

軽石さん、アドバイスありがとうございます。
仕事はLSIの回路設計ですが、趣味で自宅で真空管アンプなどを設計制作など
していて、趣味で使うオシロです。
ご指摘通り、確かに波形取り込み能力はそこそこあるように思いますが、表
示能力がいまいちのように思います。
やはり10万円前後では”安物買いの銭失い”になりそうですね!
考え直します。
レクロイの500MHz以上の4cHカラーデジタルだと300万以上するのではないで
すか?頑張って下さい。
ありがとうございました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re: デジタルオシロ コメント数:  2件
  軽石 2003/08/12 (火) 11:02
こんにちはぷりんさん

> 現在は、Tektronix-TDS460A を使っていますが、大きくて重く移動不能
> なのでノートPCと組み合わせられれば・・と思っています。
> http://www.softdsp.com/sds200_features.php

秋月で売られている物ですね。
ぷりんさんはオシロは何の測定に使われていますでしょうか?

コンパクト、安価な物はそれなりの性能しかありません。
簡単な波形観測であればこれで十分かもしれませんが、いまだ回りで購入、レポートした
人の話を聞けません。

仕事で使うのであればもうちょっとがんばればテクトロの最下位機種が買えます。
会社で買うのであれば現行品を購入します。
個人でもいまだ購入に踏み切れません。
(コンパクトですが、性能がいまいちなような気がします)
私は性能重視にしたいので、ヤフオクでレクロイの出物にチャレンジします。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 デジタルオシロ コメント数:  8件
  ぷりん 2003/08/12 (火) 05:27
はじめまして・・教えてください。
回路では無いのですが、回路のふるまいを調べるオシロスコープですが
以下のURLで便利そうなデジタルオシロを見つけましたが、そこそこ
使えそうに思うのですが、すでに使っている方や、測定器に詳しい方が
おりましたらアドバイスお願いします。
現在は、Tektronix-TDS460A を使っていますが、大きくて重く移動不能
なのでノートPCと組み合わせられれば・・と思っています。

http://www.softdsp.com/sds200_features.php
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^2: FT232BMのボーレート コメント数:  0件
  Nyya 2003/08/11 (月) 00:53
> ドキュメントを読まれればUARTのプロトコルの仕様が掛かれていますが?

御恥ずかしい限りです・・・。データシートしか見ていなかったので、
具体的な計算方法がわかりませんでしたが、再度FTDIのサイトに行ったところ、
”Setting Baud Rates for the FT232BM”のPDFを見つけ、
計算方法が分かりました。

まずは実際に作ってから、TXDとRXDを繋いでみて折り返しのチェックをしてみようと思います。おそらく通信ソフトのテストが必要でしょうから。

> SH2側がシリアルで接続するのはTTLでしょうか?普通のRS232Cですか?

TTLレベルで接続する予定です。
RX側はショートピン除去でレベル変換ICと切断できるようになっています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re: FT232BMのボーレート コメント数:  1件
  kitten 2003/08/10 (日) 19:11
こんにちはNyyaさん

> FT232BMを使って、シリアルポートの無いパソコン等と
> 秋月のSH2ボード(7045F)を230Kbps以上の出来るだけ高速なシリアルで
> 接続したいと考えているのですが、
> FT232BMが具体的にどういうボーレートに対応しているのかが良く分からないので、
> どなたか教えていただけまでしょうか?よろしくお願いします。

ドキュメントを読まれればUARTのプロトコルの仕様が掛かれていますが?

SH2側がシリアルで接続するのはTTLでしょうか?普通のRS232Cですか?

MAX 3M baudとありますので、要求仕様は満足しますね。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 FT232BMのボーレート コメント数:  2件
  Nyya 2003/08/10 (日) 14:30
FT232BMを使って、シリアルポートの無いパソコン等と
秋月のSH2ボード(7045F)を230Kbps以上の出来るだけ高速なシリアルで
接続したいと考えているのですが、
FT232BMが具体的にどういうボーレートに対応しているのかが良く分からないので、
どなたか教えていただけまでしょうか?よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^2: オペアンプのGB積に対する質問 コメント数:  0件
  山下J 2003/08/08 (金) 00:39
Nontaさんご返答ありがとうございます。
明日、それらの本を探してみようと思います。
台風次第ですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re^2: オペアンプのGB積に対する質問 コメント数:  0件
  山下J 2003/08/08 (金) 00:38
ご返答ありがとうございます。
山下Jです。
オペアンプは必ず負帰還をかけて、使用しなければならないということですね。

裸利得が周波数と共に下がってしまうのはオペアンプ内部の
増幅部で、ミラー容量な物がありローパスフィルタを形成するため
ということでしょうか?

> オペアンプは負帰還をかけないと、
> 周波数の増加とともにゲインは下がってしまいます。
> オペアンプの裸利得が下がっても負帰還で下げた値を下回らなければ、
> 使う人にとってはゲイン一定とみなせます。
> だから、ゲインを低く設定すれば、使える帯域が増えるというわけです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re: オペアンプのGB積に対する質問 コメント数:  1件
  なひたふ 2003/08/08 (金) 00:32
こんばんは
> よく、GB積一定だからゲインを下げたら、周波数帯域幅が広がると自分でも
> それは解るのですが、なぜゲインを下げたら周波数帯域幅が広がるのでしょうか?

ゲインを下げても、オペアンプ自身の増幅能力が上がりませんが、
周波数に依存しないフラットな特性の部分が広がります。
オペアンプは負帰還をかけないと、
周波数の増加とともにゲインは下がってしまいます。
オペアンプの裸利得が下がっても負帰還で下げた値を下回らなければ、
使う人にとってはゲイン一定とみなせます。
だから、ゲインを低く設定すれば、使える帯域が増えるというわけです。

周波数特性のグラフを見たことありますよね。台形のやつです。
台形の台の部分が高いところにあれば、ゲインは高くて、
台の部分が広い方が周波数帯域が広いわけです。

利得
|----\
|     \
|       \
|         \
| ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄周波数
            GB

台の部分を広くしたければ、台の高さを低くすればいいのです。

利得
|-----------
|         \
| ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄ ̄周波数
            GB
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re: オペアンプのGB積に対する質問 コメント数:  1件
  Nonta 2003/08/07 (木) 22:09
やましたJさん,初めまして
> よく、GB積一定だからゲインを下げたら、周波数帯域幅が広がると自分でも
> それは解るのですが、なぜゲインを下げたら周波数帯域幅が広がるのでしょうか?
GB積一定だから,GB=Aとすると,B=A/G,両辺の対数を取ると,
logB=log(A/G)=logA-logG,両辺を20倍すると,20logB=20logA-20logG
周波数(帯域幅)Bの変化に対し,ゲインは-20dB/decとなります.
これは,なぜそうなるのかではなくて,こうしないと安定な負饋還がかけられないわけです.
この理屈は簡単には説明できませんから,この本を見ると井伊でしょー.
http://www.cqpub.co.jp/hanbai/books/32841.htm

で,OPアンプ内部でどうしているかとゆーと,この本が井伊でしょー.
http://www.cqpub.co.jp/hanbai/books/32691.htm

黒田先生の本は難しいので,わかりにくかったら,この本が井伊でしょー.
http://www.amazon.co.jp/exec/obidos/ASIN/4563034614/ref=pd_sims_dp__1
http://www.amazon.co.jp/exec/obidos/ASIN/4563034622/ref=pd_sims_dp__4
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 オペアンプのGB積に対する質問 コメント数:  4件
  やましたJ 2003/08/07 (木) 10:28
初めまして、やましたJと申します。
基本的なことかもしれませんが、オペアンプのGB積一定について
教えていただけませんか。

よく、GB積一定だからゲインを下げたら、周波数帯域幅が広がると自分でも
それは解るのですが、なぜゲインを下げたら周波数帯域幅が広がるのでしょうか?
実際にオペアンプのなかのどの部分が、周波数帯域幅を決めているのか教えてください。いろいろ検索してみると、内部容量が影響してと書いてあるのですが、
どの部分の内部容量かよく分かりません。

よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^9: NP1003BでXC2S100をJTAGから外したい コメント数:  0件
  とみゅ 2003/08/07 (木) 02:18
 http://members.jcom.home.ne.jp/tomyu/
naxjpのテストはまだ実施していないのですが、もう一つ問題があったFTDI245がPCで認識しなかった原因がわかりましたので、ご連絡いたします。
Web上のPDFの回路図では「バスパワーではR13は外す」と記載されているので実装しなかったのですが、FTDI245のデザインガイドと見比べるとR13は必要なようです。(実際、作り方のページでは実装されていますね)
TR1も外してある(作り方のページは実装してある)のですが、これは実装すべきなのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^8: NP1003BでXC2S100をJTAGから外したい コメント数:  1件
  とみゅ 2003/08/06 (水) 00:27
 http://members.jcom.home.ne.jp/tomyu/
> おそらくFPGAの電源電圧あるいは、
> JTAGダウンロードケーブルの問題ではないかと考えています。

FPGAの電源電圧は既出の通りです。
JTAGダウンロードケーブルのほうは自分も疑っていて、数十〜数千pF
をTCKに抱かせたり、その他の信号にも数十pFを抱かせたり、TCKに関
してはケーブル内のダンピングのRやCを外したりしたのですが、あ
まり変わりませんでした。

> ちなみに、iMPACTのバージョンをお教えいただけますでしょうか?

Release Version 5.2.02i / Application Version F.30a です。

> また、JTAGダウンロードケーブルは自作でしょうか?

自作です。HC125ではなくHC244ですがバッファは入っています。
その他にある評価ボードについてきたバッファなしタイプでも
試してみたのですが、同じ症状でした。

> また、naxjpでもNGでしょうか?

少し待ってください。近日中にカットしたところを戻して
確認してみます。

> もしよろしかったら基板をご返送ください。

切り分け手段がだいぶ尽きてきましたので、上記確認結果をご報
告した後、返送させていただく方向で考えさせてください。
(やっぱりケーブルだった、というのがちょっと心配なのですが・・)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re^2: 千石でXC2S200とか、VirtexV300とか買えるらしい コメント数:  0件
  さとう 2003/08/05 (火) 14:29
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
> っていうことは、SpartanIIの時代もそろそろ終わりですね。
> そろそろ次のFPGAを探すことにします。
 アマチュアユースに限るなら、WebPackのサポートが最大のネックですよね。
 Spa3は安いとのことですがxc3s50だけではちょっと辛い。
 5V I/Oを捨てる段階でSpaIIE XC2S300が本命でしょうか。値段が下がるならPLLやSDRAM I/Fを積んでるALTERA Cycloneもおいしいかも。

 それにしても、千石の値段で「取り寄せ」では高いですね。お店で1個買いできるならメリットありますが。
 ちょっと宣伝になっちゃいますが、下記の様な安価なボードも出てますよ。
http://optimize.ath.cx/ezfpga/index.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 バッテリーを長持ちさせる方法 コメント数:  0件
  安藤  | dkadn007@kyoto.zaq.ne.jp 2003/08/05 (火) 13:58
> こんにちは、エルモと申します。以前100VLED照明でおせわになりました。
>
> 小さな釣り船で使うエレキと言うDCモーターを使ったスクリューがあります。
> 12Vのバッテリーで、フットコンと言う足で操作するパネルがあります。
> 足でスイッチを押すとスクリューが回るという感じです。

フットスイッチの操作は、大きく踏み込むと速度が速くなるようなものでしょうか?
とにかく、バッテリーの内部エネルギー以上のものは取り出せないので、例えば、同じエネルギーで航続距離を伸ばすとかでしたら、速度を遅くすれば、造波抵抗は少し違いますが、低速域では水の抵抗は速度の2乗(ですからワット数は速度の3乗になります)に比例しますから、モーターを遅く回すと航続距離は伸びます。

コントローラーがオンオフのみ(使いにくそう)だったり、船速が現在と同じだけ必要な場合は、もうこれは使えるエネルギーを増やすしかないので、安い予備バッテリーを用意するしかないと思えますが、いかがでしょう?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re^2: 千石でXC2S200とか、VirtexV300とか買えるらしい コメント数:  0件
  O−KEN 2003/08/05 (火) 13:00
> > 千石で、ボケーとオペアンプを探していたら、
> > XC2S200 と書いてあるボックスを見つけました。
> > 値段もまあ、安くて5千円ぐらいでした。
>
> っていうことは、SpartanIIの時代もそろそろ終わりですね。
> そろそろ次のFPGAを探すことにします。
> SpaIIEか、SpaIIIか、VirtexIIか。

始めまして。 O−KENと申します。
いっつも見てましたが、カキコは始めてです。 よろしくどうぞ〜 ^^

本題ですが。
SpIIIbに移行するのが本筋なんでしょうがSpIIEだけは残して欲しいですね〜
安くて、IOが豊富なのは、非常に助かりますからね〜・・・
Vi2Eは高いだろうし(値段調べた事無いんですけどね ^^;)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re: 千石でXC2S200とか、VirtexV300とか買えるらしい コメント数:  2件
  なひたふ 2003/08/05 (火) 02:39
> 千石で、ボケーとオペアンプを探していたら、
> XC2S200 と書いてあるボックスを見つけました。
> 値段もまあ、安くて5千円ぐらいでした。

っていうことは、SpartanIIの時代もそろそろ終わりですね。
そろそろ次のFPGAを探すことにします。
SpaIIEか、SpaIIIか、VirtexIIか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re^7: NP1003BでXC2S100をJTAGから外したい コメント数:  3件
  なひたふ 2003/08/05 (火) 02:10
本件に関して、不具合のご報告ありがとうございました。

> やっぱりデバイス不良なんでしょうかね?
TAPコントローラだけが壊れるというのも、考えにくいです。
(それよりはI/Oが先に壊れると思います。)
おそらくFPGAの電源電圧あるいは、
JTAGダウンロードケーブルの問題ではないかと考えています。

ちなみに、iMPACTのバージョンをお教えいただけますでしょうか?
また、JTAGダウンロードケーブルは自作でしょうか?
その際、HC125のバッファは入っておりますか?

また、naxjpでもNGでしょうか?http://www.nahitech.com/nahitafu/naxjp/naxjp077.tgz
お時間がありましたらお試しください。

naxjpをインストールしたら、
コマンドプロンプトで下のようにタイプしてください。
naxjp -getid XC18V01 -getid XC2S100
XC18V01とXC2S100が認識されるはずです。
(Windows2000/XPで使用する場合は、別途giveioをインストール必要有)

また、原因を究明したいので、
もしよろしかったら基板をご返送ください。
デバッグいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re^6: NP1003BでXC2S100をJTAGから外したい コメント数:  4件
  とみゅ 2003/08/05 (火) 01:45
 http://members.jcom.home.ne.jp/tomyu/
・・・パターンをカットしてから言われても・・・(^^;;;;
結局、PCのパラレルポートアダプタの中のドライバICのダンピング抵抗を取っ払ってみたり、ドライバICのデバイスを変えてみたり、PCそのものを変えてみたりしたけど変わりませんでした。んで、結局パターンカット&3.3Vへのプルアップをしてしまいました。

> ※ パターンのカットは自己責任において実施してください。
>
> > 基板を裏返していただいて、下側40ピンコネクタのTMSから
> > 右側へ伸びていく線があります。その線をカットしてください。
> > その線をずっとたどっていくと、QFPの裏でスルーホールに入ります。
> > そこをHighにプルアップしてください。

やっぱりデバイス不良なんでしょうかね?
DRAMはデバイス不良が結構多いんですが、それ以外は珍しい気がしますけど。(普通は100ppmよりずっと下のはず)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 Re^5: NP1003BでXC2S100をJTAGから外したい コメント数:  5件
  なひたふ 2003/08/05 (火) 01:05
※ パターンのカットは自己責任において実施してください。

> 基板を裏返していただいて、下側40ピンコネクタのTMSから
> 右側へ伸びていく線があります。その線をカットしてください。
> その線をずっとたどっていくと、QFPの裏でスルーホールに入ります。
> そこをHighにプルアップしてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 千石でXC2S200とか、VirtexV300とか買えるらしい コメント数:  3件
  森 秀樹 2003/08/04 (月) 21:50
千石で、ボケーとオペアンプを探していたら、
XC2S200 と書いてあるボックスを見つけました。
値段もまあ、安くて5千円ぐらいでした。
Virtex V300E まであって、ああ、ほしいと思ったが、
注文販売だそうで、うーむ面倒だなあ。と思って
買わなかった。
せめて、XC2S200 は在庫してほしかったなあ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^3: XILINXに5Vを加えて大丈夫か コメント数:  0件
  さとう 2003/08/04 (月) 13:38
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
>  VCCO=2.5Vなら、VCCI<10mAとなるよう直列抵抗を入れればよいかと。
 I/O定義もLVCMOS2,SSTL2_I,SSTL2_IIのどれかにしないといけませんね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^2: XILINXに5Vを加えて大丈夫か コメント数:  1件
  さとう 2003/08/04 (月) 11:01
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
> Spa2の5Vトレラントも、USB側VCCIOを5V、Spa2側VCCOを2.5Vで
 5Vトレラントは、SpaIIのVCCOが3.3Vの時に適応されます。
 SpaIIのデータシート、中でも絶対定格だけは使用前に熟知されておいた方が良いと思います。
 CPLDやFPGAポートは他のICにくらべて非常にデリケートなので、すぐに壊れちゃいますよ。
 VCCO=2.5Vなら、VCCI<10mAとなるよう直列抵抗を入れればよいかと。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^3: 複合D-subコネクタ 43W3でなく43W2 探しています コメント数:  0件
  のり 2003/08/04 (月) 09:33
のりです

> 題名と内容が違っていますね。タイトルでは43W3なのに、質問の内容では
> 43W2となっています。

失礼しました。探しているのは43W2です。

> > 特殊なコネクタなら、東ラジ1Fのコネクタ屋さん(HIROSE専門?)とかを
> > あたってみるのも手ですが、「43W3 connector」でgoogle検索しても

コネクタメーカー、秋葉原のコネクタ屋さんなど、いくつか問い合わせてみましたが、扱ったことがなかったり、在庫では持っていなかったり、という状況です。

結局、ITT Cannonという会社のカタログで見つかりましたので、
http://www.ittcannon.com/media/pdf/catalogs/DM.pdf
この会社に問い合わせ中です。
ありがとうございました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re^4: NP1003BでXC2S100をJTAGから外したい コメント数:  0件
  きただ 2003/08/04 (月) 08:49
なひたふさんおひさしぶりです。元気にしてますか?

> それと、スルーホール径が大きいのでうっかりスルーホールに半田を流し込んでしまうと裏側に部品がある場合に目視で確認できなくなってしまいます。電源パターンでない限り部品の下はもっと小さいスルーホールの方が良いように思います。

部品ランドにスルーホールを開けたら、どんなに小さなスルーホール空けても、半田が流れていきます。なので、やめたほうがいいです。
基板やさんに発注しているのなら、部品ランドにあけたスルーホールを樹脂埋め・ふたメッキするといいです。ちょっと高くなりますが・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re^4: NP1003BでXC2S100をJTAGから外したい コメント数:  6件
  なひたふ 2003/08/04 (月) 02:40
こんばんは

> > FPGAに(FPGAが理解できない)不要なJTAG信号が
> > 入力されてしまいますので、誤動作する危険性はあります。
>
> ですよねぇ・・・。
> で、TDIとTMSのパターンカットを考えてみたいのですが、オープンのままでいいんでしょうか?

TMSをHighに固定して、クロックを5回入れると、
JTAGのTAPコントローラは必ずTestLogicResetに行きます。
この状態ではJTAGは悪さをしないはずです。
TDIもカットすれば完璧です。

TMSをカットし、Highに固定するやり方ですが、
>それと、TMSはQFPの下なので正確には追えませんが、
>見える範囲ではコネクタの所でXC2S100とROMへ行くパターンが
>根元で分岐しているようですが正しいでしょうか?
はい。そうなっています。

基板を裏返していただいて、下側40ピンコネクタのTMSから
右側へ伸びていく線があります。その線をカットしてください。
その線をずっとたどっていくと、QFPの裏でスルーホールに入ります。
そこをHighにプルアップしてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^3: NP1003BでXC2S100をJTAGから外したい コメント数:  8件
  とみゅ 2003/08/04 (月) 01:41
 http://members.jcom.home.ne.jp/tomyu/
> FPGA内のTAPコントローラが誤動作しているのであれば、
> 初期不良として対応いたします。

ありがとうございます。・・・といっても、スポットヒーターがないとQFPをきれいに外すのはちょっと難しいです。

> FPGAに(FPGAが理解できない)不要なJTAG信号が
> 入力されてしまいますので、誤動作する危険性はあります。

ですよねぇ・・・。
で、TDIとTMSのパターンカットを考えてみたいのですが、オープンのままでいいんでしょうか?それと、TMSはQFPの下なので正確には追えませんが、見える範囲ではコネクタの所でXC2S100とROMへ行くパターンが根元で分岐しているようですが正しいでしょうか?

> ・・・より作りやすくなるよう改善していきます。

あと、QFPのパターンで隣接ピンの間を接続するパターンがあるのですが、ピン間の接続は一旦QFPの外か中へ出して折り返す方が目視で半田ブリッジを確認する際に良いと思います。
それと、スルーホール径が大きいのでうっかりスルーホールに半田を流し込んでしまうと裏側に部品がある場合に目視で確認できなくなってしまいます。電源パターンでない限り部品の下はもっと小さいスルーホールの方が良いように思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re: XILINXに5Vを加えて大丈夫か コメント数:  2件
  たかはし 2003/08/04 (月) 00:18
> SpartanIIでは、5Vトレラントのあるピンでは5.5VまでOKで、
> 5VトレラントのないI/OピンではVCCO+0.5Vとのことです。
> I/Oピンを、LVTTL、LVCMOS2、PCI3、PCI5のいずれかにしておけば、
> 5Vトレラントになります。

Spa2の5Vトレラントも、USB側VCCIOを5V、Spa2側VCCOを2.5Vで
1時間以上SRAMとともに15MHz程度で回していると動作がおかしく
なってしまいます。USB側VCCOを3.3Vにしたら安定しますが、それでも
繰り返しやるとおかしくなります。電源はバス供給なんですが、
こんなときはSpa2、SRAMは外部電源にした方がいいのかもしれない
ですけどね。電源の問題か、デバイスの問題か解析中です。
もちろん通常使用であれば問題ありませんけど。なのでインターフェイス
電圧については合わせれるなら合わせた方がベターだと思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^2: FT245BMのVCCIO コメント数:  0件
  たかはし 2003/08/04 (月) 00:09
> > うちの会社で使っているのも同じ症状で先週はその解析にはまっていました。
> NP1003ではなく、貴社で扱われている別の回路ですか?

FT245BM+XC2S50-PQ208+SRAMで独自開発中の評価治具ボードです。

> このようなことを未然に防ぐ方法として、1つは、
> 入力ピンを電源に直結することはせず、
> 22Ωくらいの抵抗を介してつなぐことだと思います。
> (とはいっても、抵抗の値が大きいと入力から漏れてくる電流で
> 電位が上昇してしまい、Lと認識させたいのにHになってしまった
> というトラブル事例もあります。)

Hi→Loと認識ですか?この時間頭が動いていないので...
LSI内部にも100程度の抵抗が保護用に信号に直列に入ってたりするので、
それとの兼ね合いでダイオードがオンしても漏れ電流を
抑えつつドロップ分で論理の反転がないようにすればよいですね。
しかし入ってくるレベルが予想できない場合もありえますので困った
ものです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re: DCモーターの省エネ方法 コメント数:  0件
  機械系は素人ですが… 2003/08/03 (日) 23:29
> 12Vのバッテリーで、フットコンと言う足で操作するパネルがあります。
> 足でスイッチを押すとスクリューが回るという感じです。

フットコンは、単なるON/OFFのスイッチでしょうか?
そうであるならば、PWM駆動にしても、駆動時間は延びないと思います。
結局、ON/OFFつまり0%か100%で駆動するならば、PWM駆動の意味は
無いでしょう。

中間領域での駆動を使っていて、それが抵抗でモータへの電圧を可変
させているならば、大いに意味があると思いますが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 XILINXに5Vを加えて大丈夫か コメント数:  3件
  なひたふ 2003/08/03 (日) 23:15
おそらく、XILINXの9500シリーズでは大丈夫でしょう。
PDFのデータシート、「XC9500 ISP CPLDファミリ」の10ページ目で、
パッドの保護用DiodeはVCCINT(5V)につながっています。

ということは、VCCIOを3.3Vにしたとき、
ピンに5Vを加えてもVCCIOから出てくることはなさそうです。

入力ピン電圧の絶対最大定格は、VCC+0.5Vとのことですので、
混在状況下でピンに5Vを加えてもOKなのでしょう。
9500XLシリーズもおそらく同様に5.5Vまで大丈夫です。

SpartanIIでは、5Vトレラントのあるピンでは5.5VまでOKで、
5VトレラントのないI/OピンではVCCO+0.5Vとのことです。
I/Oピンを、LVTTL、LVCMOS2、PCI3、PCI5のいずれかにしておけば、
5Vトレラントになります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re: FT245BMのVCCIO コメント数:  5件
  なひたふ 2003/08/03 (日) 23:02
> うちの会社で使っているのも同じ症状で先週はその解析にはまっていました。
NP1003ではなく、貴社で扱われている別の回路ですか?

> とありました。通常、よほど高周波用のピンでないかぎり各ピンには
> そのピン(回路ブロック)が属する電源に対してプロテクションダイオードを入れ
> ますが、ここでリストされている信号はVCCIOに対して入っているようですね。
おっしゃるとおり。
3.3Vだけとか、5Vだけしか使わないICであれば、このような問題は
起こりにくいと思うのですが、3.3Vと5Vが混在するようなICでは、
常に起こりうる可能性があります。

このようなことを未然に防ぐ方法として、1つは、
入力ピンを電源に直結することはせず、
22Ωくらいの抵抗を介してつなぐことだと思います。
(とはいっても、抵抗の値が大きいと入力から漏れてくる電流で
電位が上昇してしまい、Lと認識させたいのにHになってしまった
というトラブル事例もあります。)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 FT245BMのVCCIO コメント数:  6件
  たかはし 2003/08/03 (日) 22:36
うちの会社で使っているのも同じ症状で先週はその解析にはまっていました。
そこで初心に返って?ということでデータシートを確認すると、VCCIOの説明に
”+3.0 volt to +5.25 volt VCC to the FIFO interface pins 10..12, 14..16 and 18..25.”
とありました。通常、よほど高周波用のピンでないかぎり各ピンには
そのピン(回路ブロック)が属する電源に対してプロテクションダイオードを入れ
ますが、ここでリストされている信号はVCCIOに対して入っているようですね。
SI/WU以外のピンは出力だったり、入力(RD#、WR)であってもインターフェイス用の
電源レベルで入ってくるので問題ないのだと思います。ということでSI/WUをVCCIO
に接続する修正を行いました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re^2: NP1003BでXC2S100をJTAGから外したい コメント数:  9件
  なひたふ 2003/08/03 (日) 18:15
ご購入いただきまして、ありがとうございました。

FPGA内のTAPコントローラが誤動作しているのであれば、
初期不良として対応いたします。

FPGAの32番ピン(TDI)からチェーン全体のTDOをとることで
コンフィグROMの動作は問題はないのですが、
FPGAに(FPGAが理解できない)不要なJTAG信号が
入力されてしまいますので、誤動作する危険性はあります。

したがって、TDIとTMSのパターンをカットし、
FPGAにJTAG信号が入らないようにされることをお勧めします。

あと、ホームページを拝見しました。
たしかに、パッドが小さくて製作にご苦労をかけたかと思います
申し訳ございませんでした。
特にチップCは難しかったと思われます。
パッドの問題は、NP1003Cバージョンである程度改善されていますが、
やはりチップ部品を多用した基板であり、製作は簡単ではありません。

次のNP1003D基板ではQFPのマージンを広くとるのと
1608のパッドの横のマージンを広くとるようにし、
より作りやすくなるよう改善していきます。

今後ともよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re: NP1003BでXC2S100をJTAGから外したい コメント数:  10件
  とみゅ 2003/08/03 (日) 13:48
 http://members.jcom.home.ne.jp/tomyu/
長さ制限で1回目は引っかかってしまったので、情報を補足します。
・波形を疑ってJTAGの信号に数十〜1000pFくらいのチップコンを抱かせてみた
・JTAGプローブは自作だけどXC9572等で動作の実績はある(コンフィグROMも動作してるし・・・)
・半田ブリッジ・断線を疑ってアナログオシロで各JTAGピンをあたったけど、それなりのロジック信号は見える
・静電気は大丈夫だと思う(ここ10年くらい、ESD試験以外で壊したことはないのですが・・・)
・5V、3.3V、2.5Vは問題ないレベル(3.3Vは+3%くらい、そのほかは10mV以内に収まっている)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 NP1003BでXC2S100をJTAGから外したい コメント数:  11件
  とみゅ 2003/08/03 (日) 13:36
 http://members.jcom.home.ne.jp/tomyu/
長文で失礼します。

NP1003Bを購入させていただいたのですが、どうしてもJTAGのチェーン情報が取れずに一晩波形や断線・半田ブリッジ等々を疑っていろいろ試して悩んでいました。
が、ようやく原因らしきものが見えてきました。どうもXC2S100内のJTAGコントローラが誤動作しているのは間違いないようです。
本来XC2S100の34pinから取るJTAGのTDOを32pinからとる(つまりコンフィグROMのTDO)と、ちゃんとチェーン情報も取れるし、LEDを点滅させるMCSファイルを書き込めば動作するのです。
そこでこの際、XC2S100はJTAGチェーンから外したいのですが、単にTDOをXC2S100の32pinから取るだけだと問題あるでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re^2: 複合D-subコネクタ 43W3 探しています コメント数:  1件
  軽石 2003/08/03 (日) 11:45
軽石です。

題名と内容が違っていますね。タイトルでは43W3なのに、質問の内容では
43W2となっています。
(こちらのタイプはgoogleでヒットしました)


> 特殊なコネクタなら、東ラジ1Fのコネクタ屋さん(HIROSE専門?)とかを
> あたってみるのも手ですが、「43W3 connector」でgoogle検索しても
> それらしきコネクタの情報が出てきませんし、Digikeyにもありません。
>
> そのコネクタは実在するのでしょうか?

43W3は存在しないような気がします。
あったとしてもメーカー特注のような気が・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re: DCモーターの省エネ方法 コメント数:  0件
  軽石 2003/08/03 (日) 11:28
こんにちはエルモさん

> いつも釣りの時にバッテリーが長持ちしません。
> 新品のバッテリーでも4時間ほどの駆動力です。
> もう少し長持ちさせたいと思い投稿させていただきました。
> LEDなどのパルス駆動での省エネのように、スイッチ部分にパルス回路を入れて
> DCモーターをパルス駆動にしようと思いましたが、よく考えると突入電流で
> 逆に消耗が激しいのではないかと思いました。

バッテリーの容量はいくつでしょうか?
モーターは本当にDCモーターですか?
モータはいくつかの種類があるようですので、モーターに合わせた制御が必要らしいです。

> ソーラーパネルをつけて常に充電するなどいろいろ考えましたが、これといった
> 安い方法での省エネが思いつきません。

私だったら省エネはあきらめて、エネルギー量をふやします。
予備バッテリーを入手するか、外付けの大容量バッテリー(車用など)にすれば
簡単に時間を延長できます。

今はわかりませんが、秋月に外部バッテリーが売られていたと記憶しています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re: DCモーターの省エネ方法 コメント数:  0件
  makoto@KOBE 2003/08/03 (日) 01:33
はじめまして。


1)パルス駆動のON/OFFがモータの回転のON/OFFと完全に一致していると考えていますか。
2)パルス駆動でONからOFFになったとき回転を続けていると考えていますか。
3)回転数を徐々に上げることで効率を良くしたいのでしょうか。
4)人間の操作がダイレクトにモータのON/OFFとなっているので進みすぎたりして効率が悪い。

LEDをスクリューと比較していると言うことはスクリューが停止している状態から船が進み始めるまでのスクリューと水の抵抗を無視していると考えてよろしいのでしょうか。
LEDを直流で点灯させている状態からパルスによりデューティ=50%とすると
単位時間あたりに働いたエネルギーは50%。
これをスクリューに置き換えると・・・
パルス駆動にすることで単位時間あたりに進む距離は半分になるだけのような気がしますがどうなんでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re: DCモーターの省エネ方法 コメント数:  0件
  なひたふ 2003/08/03 (日) 01:02
> LEDなどのパルス駆動での省エネのように、スイッチ部分にパルス回路を入れて
> DCモーターをパルス駆動にしようと思いましたが、よく考えると突入電流で
> 逆に消耗が激しいのではないかと思いました。

とまっている状態から動き出すときに
大きな電流が必要なのではないでしょうか?

回転する速度を変えるために、
電圧一定のままパルス駆動にしたいのであれば、
DCモータのPWM制御で調べてみてください。

それで省エネになるというよりは、
モータの出力を効率よく可変にできるといった感じです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: 複合D-subコネクタ 43W3 探しています コメント数:  2件
  なひたふ 2003/08/03 (日) 00:53
> RSコンポーネンツのカタログ158ページには、13W3とか24W7などはあるのですが、探している43W2は、残念ながらありませんでした。
>
コネクタの中に大きなピンと小さなピンがいっしょにあるやつですよね。
千石でそのようなものを見たことがありますが、
望みのピン数かどうかはわかりません。
たぶん13W3でしょうね。

特殊なコネクタなら、東ラジ1Fのコネクタ屋さん(HIROSE専門?)とかを
あたってみるのも手ですが、「43W3 connector」でgoogle検索しても
それらしきコネクタの情報が出てきませんし、Digikeyにもありません。

そのコネクタは実在するのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 DCモーターの省エネ方法 コメント数:  5件
  エルモ 2003/08/02 (土) 14:21
こんにちは、エルモと申します。以前100VLED照明でおせわになりました。

小さな釣り船で使うエレキと言うDCモーターを使ったスクリューがあります。
12Vのバッテリーで、フットコンと言う足で操作するパネルがあります。
足でスイッチを押すとスクリューが回るという感じです。
いつも釣りの時にバッテリーが長持ちしません。新品のバッテリーでも
4時間ほどの駆動力です。もう少し長持ちさせたいと思い投稿させていただきました。
LEDなどのパルス駆動での省エネのように、スイッチ部分にパルス回路を入れて
DCモーターをパルス駆動にしようと思いましたが、よく考えると突入電流で
逆に消耗が激しいのではないかと思いました。
ソーラーパネルをつけて常に充電するなどいろいろ考えましたが、これといった
安い方法での省エネが思いつきません。
どなたかよいアイデアお持ちでしたらお教え願います。
よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 複合D-subコネクタ 43W3 探しています コメント数:  3件
  のり 2003/08/01 (金) 16:59
みなさん
こんにちは。この掲示板で時々質問させていただいています”のり”と申します。
SUNのワークステーションのディスプレイケーブルなどで使われていたD-SUBコネクタで(現在も使われている?)13W3というのがありますが、その系列で、43W2というコネクタを探しています。
信号ピンが全部で43本あり、そのうち2本が同軸タイプです。
ソケットとプラグの両方を探しています。
RSコンポーネンツのカタログ158ページには、13W3とか24W7などはあるのですが、探している43W2は、残念ながらありませんでした。

このコネクタを扱っていそうなお店、会社などご存知でしたら、お教え下さい。
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re^2: 「トロ活」新発売 コメント数:  0件
  軽石 2003/07/31 (木) 00:13
追加情報!

> 付録つけていただくのはありがたいですが、動くようにするまでの手間がちょっと・・・。
>
> せめて落合さんの所のキットみたいにJTAGのコネクタくらいは欲しいですね。
> (希望する基板は電源、グランド、JTAGは簡単に引き出せる(まとめておいて欲しい)事を希望します)

インタフェースにある基板情報を見るとなにやらレギュレータのような物が・・・。
さらにJTAGのコネクタピン配置(アルテラ版)のような物も見えます。

やはり前回の手抜き基板では不評だったので、こんどはちょっぴり気合をいれたのでしょう。
前回は結果としてはお勧めではありませんでしたが、今回はちょっぴり期待してよさそうです。

ライターを持っていない人は落合さんの所で入手しましょう。>ALL
ゆっくりと作っても半日くらいで自作できます。
手作り品よりは高くつきますが、しあがりは綺麗になります。
しかも落合さんのキットだとザイリンクスも対応できます。
(ここの掲示板でこんなに宣伝するとまずいかな・・・・。)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^8: オペアンプと定電流源について コメント数:  0件
  加藤 2003/07/30 (水) 16:48
度々すみません。
今後特にマナーは守ろうと思います。

今まで「トランジスタの最大定格」や「半導体の壊れ方」
を質問した時にはNontaさんや軽石さんが丁寧に説明して
頂いたので、こんかい少し驚いてしまっただけです。

今後も、マナーに気をつけてこのページを参考にさせていただきたいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re^7: オペアンプと定電流源について コメント数:  1件
  軽石 2003/07/30 (水) 13:14
加藤(本名)さんこんにちは

思いがけずレスを頂きありがとうございます。
おかげで今晩は珍しくぐっすりと眠れます。(^^;

> すみません。
> 本名なのでそのまま使ってしまいました。

今後このような事が起きないようにユニークなハンドル名での投稿をお勧めいたします。

ちなみに私のこのハンドル名は過去数人しか見つけることが出来ないユニークなハンドル名です。
(このハンドルであちこち投稿しておりますので、がんばれば私の事を少しは
知る事が出来るかもしれません)

質問する場合には出来るだけ丁寧に、そして謙虚にするのが良いレスが付く秘訣です。
(レスしていただいたのに対しては感謝の気持ちを表現するようにしましょう)
<無礼なレスにはそれなりの理由があります>

今回の加藤(本名)さんのお気持ちもわからないでもないですが、ハンドル名、投稿内容からしたら今回は仕方がないと思います。
(他人のハンドル名で投稿したと疑われる)

これに懲りずに、誰もがレスしたくなるような文章を書けるようにがんばってください。
掲示板ではないですがMLのマナーみたいなものを参考にして見るのも手です。
http://www.geocities.co.jp/SiliconValley/5656/

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^6: オペアンプと定電流源について コメント数:  0件
  加藤 2003/07/30 (水) 12:38
すみません。最初に以下のように馬鹿にされた文章を頂いたので
ありがとうございましたとは言えませんでした。


特許とゆーことは,仕事関係でしょーから,職場の先輩に聞くのが1番です.
それとも,給料の高い優秀な先輩はリストラされちゃったのかしら.

回路は,一般的な「電流電圧変換回路」です.
OPアンプの本は,見たことナインでしょーか?
増幅率は,同じ単位の量で比較しないと無意味です.
例えば,1mAを5倍したら1Vになったと言われても「(。_゜)?」でしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re^6: オペアンプと定電流源について コメント数:  2件
  加藤 2003/07/30 (水) 12:35
すみません。
本名なのでそのまま使ってしまいました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^5: オペアンプと定電流源について コメント数:  3件
  軽石 2003/07/30 (水) 10:55
よばれていませんが外野から一言

> 返答ありがとうございました。
> 「ブロッキング発振回路の発生電圧について」
> の加藤さんと私と、Nontaさんの対応が全然違う気がしますが、
> 自分で考えます。

そうですね、自分でよく考えましょう。
よろしければ結果が出たら報告していただけるとありがたいです。

なぜ対応が厳しいのか外野から判断します。

1.直前に投稿のあった加藤さんと今回の加藤さんは質問の内容、文章構成から
他人であると判断ができる。

2.なぜ他人のハンドル名をかたるのか?
  (文章構成などから同一人物とはかんがえれません)
  「先の加藤さんは以前のお礼で始まっていますが、今回の加藤さんは突然質問ですね。
  普通同じハンドル名の人だったら普通はハンドル名を変えます。

3.親切なレスが欲しいのであればどうどうとオリジナルのハンドル名を名乗ればよい。

4.どうも仕事が絡んでいるような投稿。
  (それをごまかす為になりすましているのか?)

今回のレスは普通の反応だと思います。
レスする側は人間ですので、だまされたりするのは嫌いです。
もう見ていないとは思いますが、なぜ同じハンドル名を使ったのかお聞きしたい。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^5: オペアンプと定電流源について コメント数:  1件
  Nonta 2003/07/30 (水) 08:22
> 「ブロッキング発振回路の発生電圧について」
> の加藤さんと私と、Nontaさんの対応が全然違う気がしますが、
それは、当然です。
最初の返答が、ムコーの加藤さん;
「ご返答有難う御座います。」
コッチの加藤さん;
「...」
とゆーことで、その後の書き込みが変わっちゃうんですよね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^4: オペアンプと定電流源について コメント数:  6件
  加藤 2003/07/29 (火) 23:21
返答ありがとうございました。
「ブロッキング発振回路の発生電圧について」
の加藤さんと私と、Nontaさんの対応が全然違う気がしますが、
自分で考えます。

> > 仕事は別に回路ではないので、先輩には聞けません。ただの趣味です。
> リストラされてはいない回路設計を仕事にしている職場の先輩には聞くと良いでしょー.
>
> > その特許にはβ=1/Rfと書いてありました。
> >
> > やっぱり間違っているのですか
> 仕事でなかったら,特許番号を書けば,英語を読める人がチェックしてくれるでしょー.
> 僕は,英語はからきしですから,駄目ですが.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re^3: オペアンプと定電流源について コメント数:  7件
  Nonta 2003/07/29 (火) 21:32
> 仕事は別に回路ではないので、先輩には聞けません。ただの趣味です。
リストラされてはいない回路設計を仕事にしている職場の先輩には聞くと井伊でしょー.

> その特許にはβ=1/Rfと書いてありました。
>
> やっぱり間違っているのですか
仕事でなかったら,特許番号を書けば,英語を読める人がチェックしてくれるでしょー.
僕は,英語はからきしですから,駄目ですが.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^2: オペアンプと定電流源について コメント数:  8件
  加藤 2003/07/29 (火) 18:31
たまたま特許をみていたので。
仕事は別に回路ではないので、先輩には聞けません。ただの趣味です。

その特許にはβ=1/Rfと書いてありました。

やっぱり間違っているのですか
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re: オペアンプと定電流源について コメント数:  9件
  Nonta 2003/07/29 (火) 17:29
特許とゆーことは,仕事関係でしょーから,職場の先輩に聞くのが1番です.
それとも,給料の高い優秀な先輩はリストラされちゃったのかしら.

回路は,一般的な「電流電圧変換回路」です.
OPアンプの本は,見たことナインでしょーか?
増幅率は,同じ単位の量で比較しないと無意味です.
例えば,1mAを5倍したら1Vになったと言われても「(。_゜)?」でしょう.

Aβで表すには,OPアンプの入力電圧Viから
Vi=iRf+Vo, Vi=-Vo/A ∴Vo/i=-RfA/(1+A)
となります.
βは定電流源の内部インピーダンスが∞ですから,当然1です.
∞では無く,内部抵抗Rsがあれば,β=Rs/(Rs+Rf)です.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 オペアンプと定電流源について コメント数:  10件
  加藤 2003/07/29 (火) 14:19
こんにちはオペアンプについてお聞きしたいことがあります。
海外の特許をみていたら、よく分からない回路がありました。

一般的な反転増幅回路
(単純に入力抵抗Riと帰還抵抗RfでG=-Rf/Riとなる反転増幅回路)
この、Riを電流値iの定電流源に置き換えたとき、増幅率はどうなるのでしょうか?
また、その回路において Vo/i=A/(1+Aβ)をかかれています。
この式は普通負帰還回路での増幅率でVo/Vi=A/(1+Aβ)だと思うのですが
定電流源を使用すると何か違うのでしょうか?

すみません、考えたのですが解りませんでした。教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.