なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re^2: バイパスコンデンサについて教えてください コメント数:  1件
  K-ichi   | k-ichi@mv.0038.net 2003/05/26 (月) 18:08
> 10uFと0.1uFをパラにするのはイトケン先生の本にも書いてありますが,
> 周波数によって,パスコンのキャパシタンスによる効果が変わるからです.
> こうゆう↓感じです.
> http://www.nec-tokin.net/now/product/cap/proadlizer/index.html

湯気が出ているような新デバイスですね。
プロードライザ、ですか。なんか凄そう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re: マイコンでブザ− コメント数:  1件
  軽石 2003/05/26 (月) 17:19
> マイコンを使ってブザ−を鳴らしたりという操作をしていく製作で、どなたか面白いものをご存知でしょうか?

面白いというのは個人差があるのではずすと恥ずかしいので難しいですね。

ブザーを鳴らして遊ぶとすればすでに個人研究者さんが書かれた事意外は思いつきません。

自分としてやってみたいとすればリズムマシン(メトロノームなど)を作ってみるくらいですかね。


電子さんはどんな事を思きましたか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^5: データシート コメント数:  0件
  shirou   | a-u2003@hdl.co.jp 2003/05/26 (月) 14:12
> 前回のURLは間違っていました。失礼しました。。下記のサイトです。
>
> http://www.microelectr.com.hk/datasheet/
なるほど、でもこちらは東芝ではなく同じ型番の類似品でしょうね。
メーカーが違えば特性がちがって問題ないのではないですか。
韓国製のLEDなども日本のメーカーとまったく同じ型番を使っているものが
あります。
同じ型番だから同じと考えるのはまずいこともあります。
やはり使う部品のメーカーのデータシートを元に設計するべきでしょうね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^4: データシート コメント数:  1件
  個人研究者 2003/05/26 (月) 13:52
> > > > このサイトです−> http://www.hobby-elec.org/datasheet.htm
> こちらにあるのは東芝のデータシートだと思いますが、メーカにあるものと
> こちらにあるものが違ったということでしょうか。

前回のURLは間違っていました。失礼しました。。下記のサイトです。

http://www.microelectr.com.hk/datasheet/

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re^4: データシート コメント数:  0件
  個人研究者 2003/05/26 (月) 13:46
> 実際問題として、半導体はひとつの品種を一気に生産します。たくさ
> んの品種を何ヶ月というサイクルで生産しているようです。ある品種
> が在庫切れになると、次の生産まで何ヶ月も入手不可能な事態が起こ
> ります。

大変勉強になりました。こんな事情があったんですね。生産現場や部品の流通まで計算に入れて設計されるのはさすがにプロですね。OPアンプを使った回路はよく作るのですが、今回初めてSEPP回路を設計してみようと考えています。ついつい規格に頼りがちなのはやはり経験不足ですね。

> 可能な限り、特定の製品でなければ作れない、という回路ではなく、
> 同クラスの製品ならメーカー品番を問わず何でも使えるような設計
> をしないと危険です。

結局、規格表はデバイスのクラスを大まかに見極めるために使い、できるだけ細かい定格に縛られない設計をして、後は実測を重視するということになるのでしょうか。。。
アドバイスありがとうございました。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 Re^3: データシート コメント数:  2件
  shirou   | a-u2003@hdl.co.jp 2003/05/26 (月) 13:21
> 情報ありがとうございます。おっしゃるとおり、規格表の方が間違いないのかもしれませんね。パソコンでいろいろ計算しながらやってるとついインターネットの検索機能に頼りたくなってしまって。。。無精はいけませんね。
規格表より、メーカーのデータシートがあればそれがよりよいと思いますが。
>
> > 是非そのサイトを紹介してください。
>
> このサイトです−> http://www.hobby-elec.org/datasheet.htm
こちらにあるのは東芝のデータシートだと思いますが、メーカにあるものと
こちらにあるものが違ったということでしょうか。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^3: データシート コメント数:  1件
  安藤 2003/05/26 (月) 11:53
回路設計に接して、あまり年月が経っていないとお見受けいたします。
パワーFETなどで、違うメーカーで同一品番を出している場合、敵も
商売ですから、そういうこともあるようです。でも、そんなものに影
響されないような設計をするのが、肝要です。

実際問題として、半導体はひとつの品種を一気に生産します。たくさ
んの品種を何ヶ月というサイクルで生産しているようです。ある品種
が在庫切れになると、次の生産まで何ヶ月も入手不可能な事態が起こ
ります。
可能な限り、特定の製品でなければ作れない、という回路ではなく、
同クラスの製品ならメーカー品番を問わず何でも使えるような設計
をしないと危険です。

なお、最大定格値は、検査装置の中の台風のような25℃の風の中での話です。
並みの強制空冷で出せる値ではありませんし、ましてや自然空冷では
極論を言えば、見るだけ無駄です。
それよりも、通常のリニア動作で特性グラフ上で問題が起きないか、
チェックしましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re^2: データシート コメント数:  5件
  個人研究者 2003/05/26 (月) 10:16
> 存じませんが、トランジスタ規格表/互換表ではダメなんですか?
> トラ技の付録CDには復刻版が入っていたりするようです。
> http://www.cqpub.co.jp/toragi/TRbn/TRbnindx.htm

情報ありがとうございます。おっしゃるとおり、規格表の方が間違いないのかもしれませんね。パソコンでいろいろ計算しながらやってるとついインターネットの検索機能に頼りたくなってしまって。。。無精はいけませんね。

> 是非そのサイトを紹介してください。

このサイトです−> http://www.hobby-elec.org/datasheet.htm

> ところで、「メーカーに関わらず」調べたいというのに、何故東芝に
> こだわるんですか? 開発元がどうであれ、一般に流通するものが
> 100mAならそちらを採用するのが普通だと思うんですが。

とくに東芝にこだわっているわけではないのですが、上記のサイト以外はほとんど(日本以外のサイトでも)東芝のデータシートが出てきたので参考にしたまでです。ここでもう一つ質問したくなってしまったのですが、トランジスタの型番と定格は一意に対応しないのでしょうか?Icの最大値が100mAだったり150mAだったりするのは以外でした。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re: バイパスコンデンサについて教えてください コメント数:  2件
  Nonta 2003/05/26 (月) 00:26
パスコンの話だったら,イトケン先生の本を読まれると井伊ですよ.
http://www.amazon.co.jp/exec/obidos/ASIN/4526046116/qid=1052647251/sr=1-19/ref=sr_1_2_19

10uFと0.1uFをパラにするのはイトケン先生の本にも書いてありますが,
周波数によって,パスコンのキャパシタンスによる効果が変わるからです.
こうゆう↓感じです.
http://www.nec-tokin.net/now/product/cap/proadlizer/index.html

> 高周波のバイパスには、0.1μFのような小さな容量のコンデンサが必要なのかななどと思って、結局、積層セラミック10μFとセラミック0.1μFの並列で使いました。
> このような使い方で、なにか問題ありますでしょうか?
より低周波から効かせるには,アルミ電解コンの100uF以上とセラミックの0.1uFを
パラにした方がよいでしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 バイパスコンデンサについて教えてください コメント数:  3件
  のり 2003/05/26 (月) 00:11
皆さん、はじめまして
アナログ回路初心者です。よろしくお願いします。
一般にオペアンプを使う場合、10μFくらいのタンタルと0.1μFくらいのセラミックの組み合わせなどを、バイパスコンデンサとして使うと思います。ところが、以前、タンタルコンデンサ特有のショートモードでの故障で悩まされたことがありまして、タンタルを取り外して、積層セラミックの10μFに交換しました。
その場合、積層セラミック10μFとセラミック0.1μFの並列接続になっていますが、それなら、いっそのこと、積層セラミック10μFだけでもOKなのかなと思ったりしたことがあります。でもやはり、高周波のバイパスには、0.1μFのような小さな容量のコンデンサが必要なのかななどと思って、結局、積層セラミック10μFとセラミック0.1μFの並列で使いました。
このような使い方で、なにか問題ありますでしょうか?
あるいは、アルミ電解コンなどのほうが良いでしょうか?
それとも、
タンタルが壊れるような回路を設計したこと自体が問題なのでしょうか?
(オペアンプ自体は、無事でした。意外と丈夫なので驚きました。)
何かアドバイスなど、よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re: データシート コメント数:  6件
  K-ichi   | k-ichi@mv.0038.net 2003/05/25 (日) 09:06
> トランジスタの規格などを手軽に調べる方法として、
> Web上のデータシートが便利ですが、メーカーに関わらず型番だけで
> 探せるサイトはChipDocのような有料サイトしかないように思われます。
> どなたか手軽にデバイスのデータシートを探せるサイトをご存じないで

存じませんが、トランジスタ規格表/互換表ではダメなんですか?
トラ技の付録CDには復刻版が入っていたりするようです。
http://www.cqpub.co.jp/toragi/TRbn/TRbnindx.htm

>  因みに、アジアのある地域のサイトにそんな感じのデータベースが
> ありましたが、2SC1815の最大コレクタ電流が100mAと書かれていたので
> ちょっと疑問に思いました。(東芝のサイトによると150mA)

是非そのサイトを紹介してください。

ところで、「メーカーに関わらず」調べたいというのに、何故東芝に
こだわるんですか? 開発元がどうであれ、一般に流通するものが
100mAならそちらを採用するのが普通だと思うんですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re: マイコンでブザ− コメント数:  0件
  個人研究者 2003/05/23 (金) 19:21
> マイコンを使ってブザ−を鳴らしたりという操作をしていく製作で、どなたか面白いものをご存知でしょうか?

ブザーでは無理かもしれませんが、スピーカーをつなぐとPWM(パルス幅変調)のテクニックを使ってビープ音以外に様々な音が出るようです。その場合はスピーカーと並列にコンデンサを入れてローパスフィルターにします。

確か、Macのビープ用のスピーカーを使って音声合成をやった強者が昔いたような気がしますが。。。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 マイコンでブザ− コメント数:  3件
  電子 2003/05/23 (金) 19:10
マイコンを使ってブザ−を鳴らしたりという操作をしていく製作で、どなたか面白いものをご存知でしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^4: AD630 コメント数:  0件
  shirou   | a-u2003@hdl.co.jp 2003/05/23 (金) 19:00
興味あります。
> AD630と同機能で高くても良ければこれがあります.
> http://www.nfcorp.co.jp/products/d/d04/d04-1.html
デイテルにも同じものがあるんですが、元はnfさんでしょうか。
http://www.datel.co.jp/products/compo/shm_lst.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 データシート コメント数:  7件
  個人研究者 2003/05/23 (金) 17:51
 トランジスタの規格などを手軽に調べる方法として、Web上のデータシートが便利ですが、メーカーに関わらず型番だけで探せるサイトはChipDocのような有料サイトしかないように思われます。どなたか手軽にデバイスのデータシートを探せるサイトをご存じないでしょうか?
 因みに、アジアのある地域のサイトにそんな感じのデータベースがありましたが、2SC1815の最大コレクタ電流が100mAと書かれていたのでちょっと疑問に思いました。(東芝のサイトによると150mA)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re^3: AD630 コメント数:  1件
  Nonta 2003/05/23 (金) 14:28
> ちなみに似たような機能をもつものってあるのでしょうか?
今は,デジタルの時代ですから,こうゆうようにしています.
http://www.nfcorp.co.jp/products/a/a03/5640.html
アナログでやりたかったら,「フーリエ演算方式」がお薦めです.
マルチプライングDACを使って,参照信号の正弦波を
デジタルで供給します.AD630のようなPSDは奇数次高調波に
感度を持つため,コア入りのコイルはうまく測定できませんが,
こうすると8bitでも感度を持つ高調波が256次以上になります.

AD630と同機能で高くても良ければこれがあります.
http://www.nfcorp.co.jp/products/d/d04/d04-1.html

> プリント基板で回路をもう作ってしまってからのトラブルなもので・・
基板変更を最小にしたかったら,子基板を抱かせるという方針で
OPアンプとアナログスイッチで作る手もあります.
「計測のためのフィルタ回路設計」を参考にすれば
http://www.cqpub.co.jp/hanbai/books/32821.htm
大丈夫です.アナログスイッチは以前'4016'が良かったと
言う経験があります.'4066'はチャージインジェクションが
大きくてチャージインジェクションを打ち消さないとダメでした.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re^2: AD630 コメント数:  2件
  入江 2003/05/23 (金) 10:32
お返事有難うございます。
> ところで、どうしてもAD630で無いと具合が悪いのでしょうか?
使い方としてはAD630のACブリッジ(データシート9ページ目)としてつかうのですが、プリント基板で回路をもう作ってしまってからのトラブルなもので・・

ちなみに似たような機能をもつものってあるのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re: AD630 コメント数:  3件
  タンタン 2003/05/23 (金) 08:08
> どなたかアナログデバイス社のAD630という石を売っているところごぞんじありませんか??

アナログディバイスでは、インターネットで購入/サンプルの要求が
出来るようです。何か条件があるのかはわかりませんが。

下記のURLで、AD630を検索してみてください。

http://www.analog.com/index_noflash.html

ところで、どうしてもAD630で無いと具合が悪いのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re: AD630 コメント数:  0件
  なひたふ 2003/05/22 (木) 22:02
> どなたかアナログデバイス社のAD630という石を売っているところごぞんじありませんか??

即答はできませんが、似たような石を購入したことがあります。

探す順位は・・・

↑手軽でない。価格安い
1.メーカーのサンプル請求
2.代理店
3.秋葉原のキョードー(ちょっと高め)
↓手軽に入手。価格高い
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 AD630 コメント数:  5件
  入江 2003/05/22 (木) 20:25
どなたかアナログデバイス社のAD630という石を売っているところごぞんじありませんか??
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re: 負帰還 コメント数:  0件
  pnms 2003/05/21 (水) 23:29
> 負帰還を強くかけると周波数特性が改善されるかを説明してください

や。

もし説明した場合なんらかの見返り(金銭または物品)があるか否か説明してください(半分冗談)。
宿題だったら自分でやったほうがいいですよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re: 負帰還 コメント数:  0件
  なひたふ 2003/05/21 (水) 22:46
> 負帰還を強くかけると周波数特性が改善されるかを説明してください

説明するのは、YESかNOでいいのですか?
それとも理由ですか?
ところで、周波数特性とは何でしょうか?

負帰還は、負帰還ループ内のゲインをできるだけ一定にしようと
動作します。その結果、回路全体が広帯域化されます。
それは周波数特性の改善といえます。

つまり、アンプのゲインが高い部分の利得を下げて、
周波数特性がフラットな部分が広くなります。

ただし、負帰還をいくらかけても、
アンプの裸利得を超えることはできません。
増幅できる最高周波数は変わりません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re^3: エフェクターの歪み コメント数:  0件
  なひたふ 2003/05/21 (水) 22:12
> 歪んだのは電位差によるノイズと解釈しましたが

それは、ノイズではありません。
電気は、なにごとも2点間の電位差で動作します。
グラウンドの電位があがったため、正味の電位差が減ったのです。

>ジャックのグランドとグランドの間にダイオードを入れると歪むことが分かりました。
当然です。ダイオードが順方向だったとしても、
信号出力が1V、GND出力が0Vのとき、
GNDの電位は0.6Vくらいに上がります。
回路が受け取る正味の信号電位差は0.4Vに減ります。
出力信号が0.6V以下なら、ダイオードはONしませんので、
いっそうひずみます。

> インピーダンスの違いによる反射の様なものが結果ノイズになるのでしょうか?
反射をノイズというならば、ノイズですが、
オーディオ帯域では反射はほとんど影響を与えません。

>グランドが安定していないので別のところからノイズが乗るのでしょうか?
ダイオードがONしないときは、GNDはハイインピーダンス状態ですので、
ノイズに弱くなります。
でも、それは歪む問題の本質ではありません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^2: エフェクターの歪み コメント数:  1件
  POP 2003/05/21 (水) 20:56
軽石さん解説有難うございます。
歪んだのは電位差によるノイズと解釈しましたが
インピーダンスの違いによる反射の様なものが結果ノイズになるのでしょうか?
グランドが安定していないので別のところからノイズが乗るのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re: エフェクターの歪み コメント数:  2件
  軽石 2003/05/21 (水) 14:33
POPさんこんにちは

> エフェクターの試作をしていたとき、ジャックのグランドとグランドの間にダイオードを入れると歪むことが分かりました。
> 信号ラインには何も入れていないのになぜ歪むのでしょうか??

それはグランドの電位が変化したからです。

> グランド電流と関係あるのですか?

電流というより電位差が問題です。

> 同様にコンデンサを入れると音がこもりました。。

入れたコンデンサの容量がわかりませんがローパスフィルタとなってしまって
いるようですね。

信号に手を加えないのに音が変わるのが理解できていないのだと思いますので
簡単に説明します。(間違えていたらフォロー願います>ALL)

光と違って電気は1本の電線では信号を送る事ができません。

必ず2本の電線が必要です。

電源とグランド、信号線とグランドと言う具合にペアになっています。

このグランドというのは電子回路の基準点になっています。

2つの回路のグランドは基本的に共通化する必要がありますが、POPさんの
改造(?)だとグランドの電位が変わる(変化が遅れる)為の音声信号が変化していると思われます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 エフェクターの歪み コメント数:  3件
  POP 2003/05/21 (水) 10:30
エフェクターの試作をしていたとき、ジャックのグランドとグランドの間にダイオードを入れると歪むことが分かりました。
信号ラインには何も入れていないのになぜ歪むのでしょうか??
グランド電流と関係あるのですか?
同様にコンデンサを入れると音がこもりました。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re: 基板印刷用のプリンタ コメント数:  0件
  DNA. 2003/05/21 (水) 01:49
> 基板の配線が綺麗にかけて、濃ゆく印刷できるプリンタを買いたいのですが
> どなたかお勧めの品はありませんか?

ALPS社から出ている、MD-5000というマイクロドライ・プリンタがお勧めです。
白黒0.2mmピッチでも問題なく印刷できます。
うまくヘッド送りを調整すれば、白黒0.15mmピッチも何とかいけます。

インクジェットやレーザーの場合は、にじんでしまって細い線をシャープに
出すのは難しいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re^2: 部品さがし〜 コメント数:  0件
  CCLemon 2003/05/20 (火) 23:48
> 投稿の際には改行を入れるようにする事をお勧めします。
以後気をつけます・・

> せめてICの形状やピン数なども書いていただけるとICを特定するヒントになります。
確認してみます。

> マイコンを使っていてそれが死んでいるとすると基本的に修理は無理だと思います。
> 低コストでの修理だったら同車種の部品を解体屋から購入するのがよろしいのでは?
ところが、ほとんどの解体屋ではグッピーはなく、後期ミニカは見つけたのですが、
コントローラのコネクタのピン数が異なり、ICも型式が違いました.基盤構成までも。

ICのピン数数えてみます〜
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^2: ロジックアナライザ コメント数:  0件
  まえちん 2003/05/19 (月) 12:54
お返事ありがとうございます。
元記事は削除させてしまいました。
メールアドレスがそのまま表示されるので・・・。
希望がもててよかったです。
オプティマイズさんにもそのような商品はあるのは知っていますが
何かと不具合(製作が難しそう)なので躊躇しています。
アプリ等ができるならやりたいんですが、VCもちんぷんかんぷんですし。
独力ではむずかしいみたいですね。




【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re: 部品さがし〜 コメント数:  1件
  軽石 2003/05/19 (月) 12:06
こんにちはCCLemonさん

投稿の際には改行を入れるようにする事をお勧めします。
改行がないとレスするのが面倒です。

> はじめまして〜m(__)m さっそくですが、親戚の三菱の軽ミニカ(グッピー)のパワーウインドウのコントローラが故障。あと次の車検(2年後)まで乗るようすで、コントローラは2万円もするので、直そうかと考えてます.4つの窓の動作はそれぞれ違うため、おおもとのICかな?という程度の判断で、交換もしくは運転席がわだけでも動作するように応急処置したいのです。ここで問題が・・石のメーカーと型式がわからず、しかも構成も当然わかりません。表示では"MIK33 609105-0730A 9416CA001"もうひとつのICが"1251 420"しかわかりません。(後者のICは念のためキープしたい)なんとかヒントになる情報をおしえてほしいのですが・・

せめてICの形状やピン数なども書いていただけるとICを特定するヒントになります。

マイコンを使っていてそれが死んでいるとすると基本的に修理は無理だと思います。
低コストでの修理だったら同車種の部品を解体屋から購入するのがよろしいのでは?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 部品さがし〜 コメント数:  2件
  CCLemon 2003/05/19 (月) 00:54
はじめまして〜m(__)m さっそくですが、親戚の三菱の軽ミニカ(グッピー)のパワーウインドウのコントローラが故障。あと次の車検(2年後)まで乗るようすで、コントローラは2万円もするので、直そうかと考えてます.4つの窓の動作はそれぞれ違うため、おおもとのICかな?という程度の判断で、交換もしくは運転席がわだけでも動作するように応急処置したいのです。ここで問題が・・石のメーカーと型式がわからず、しかも構成も当然わかりません。表示では"MIK33 609105-0730A 9416CA001"もうひとつのICが"1251 420"しかわかりません。(後者のICは念のためキープしたい)なんとかヒントになる情報をおしえてほしいのですが・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re: ロジックアナライザ コメント数:  1件
  なひたふ 2003/05/18 (日) 13:19
はじめまして

> 当方素人に近い者ですが
> NP1003Bを使用してロジックアナライザなんてできたらいいなとは
> 思います。
> そのようなことは可能でしょうか??

いまのままでは、100MHz、8bitのロジアナになってしまいますが、
SDRAMを付け替えれば、133MHz、16bitのロジアナが可能です。
いまなら、256MbitのSDRAMが、500円くらいでしょうかね。

ロジアナを作るなら、SDRAMのクロックが、
サンプリングクロックと一致していれば簡単にできます。

一致していない場合は、FPGA内のブロックRAMをFIFOとして使います。
SDRAMのクロックは最高速にしておき、
書込みを間欠におこなえばよいでしょう。
ただ、そのような場合、FPGAの設計は難しいです。

リフレッシュも問題ありません。
SDRAMは8ライトサイクル毎にバンクを切り替えれば、
切れ目なくバースト読み書きができるからです。

私に余力があれば、アプリケーションの予定に入れておきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: NP1003(B)のUCFファイル コメント数:  0件
  いしい 2003/05/17 (土) 20:08

どうもありがとうございます!
さっそく試してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re: 基板印刷用のプリンタ コメント数:  0件
  ryuichi 2003/05/16 (金) 18:50
プリンタの濃さはわからないのですが…。

 もし位置精度が良ければ何度も同じ場所へ印刷するという手もあります。結構うまくいくプリンターもあるものです。
 そうもいかない場合は最高画質モードで3枚ぐらい印刷して、重ね合わせるという方法でやってます。専用シート(として売り出されている商品)を買うよりかは経済的ですので、この方法でも試してみてはいかがでしょうか。
若干光が通りにくくなるので、感光時間は事前にテストしてみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re: fpgaos01についてです コメント数:  0件
  なひたふ 2003/05/15 (木) 08:52
はじめまして

急いで書き上げたソースなので、
かなりお見苦しかったと思います。

> 161行目の”GStateL <= conv_std_logic_vector(GState,5);”では
> 5ビットに変換して代入しています。
> ここは”GStateL <= conv_std_logic_vector(GState,6);”とすべきでは?
おっしゃるとおり。
最初、32ステートに入るかなと思っていたのですが、
入らなかったので64ステートに拡張しました。
その時の名残です。

> この辺りが合成がおかしくなる原因かどうかは分かりませんが。
可能性はありますので、修正します。

> 3.when〜else〜のelse項が増えると優先度付きの回路が合成されて、速度、
> 面積的に不利(case文で記述すべき)
おっしゃるとおりです。
次のバージョンでは、できるだけCASE文で記述します。

貴重なご指摘ありがとうございました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 fpgaos01についてです コメント数:  1件
  onlinestriker 2003/05/13 (火) 14:26
はじめまして、onlinestrikerと申します。

早速ですが、fpgaos01.vhdの110行目で6ビットでGStateLを定義していますが、
161行目の”GStateL <= conv_std_logic_vector(GState,5);”では
5ビットに変換して代入しています。
ここは”GStateL <= conv_std_logic_vector(GState,6);”とすべきでは?

この辺りが合成がおかしくなる原因かどうかは分かりませんが。

また、記述の上で以下の疑問があります。
1.std_logicとstd_ulogicを混ぜて使っている
2.大文字と小文字が混在している(VHDLではOKですが)
3.when〜else〜のelse項が増えると優先度付きの回路が合成されて、速度、
面積的に不利(case文で記述すべき)

ほかにもいくつかありますが。
設計する際には文法ルールを作成してそれに基づいて設計するのが一般的です。

以上です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re^2: Tンハヤトの コメント数:  0件
  shirou   | a-ushiro@hdl.co.jpp 2003/05/13 (火) 10:24
そうそう、こんなところがあります。
http://homepage3.nifty.com/ayb_pcb/index.htm
料金
http://homepage3.nifty.com/ayb_pcb/tyuumon.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re:サンハヤトの コメント数:  1件
  さとう 2003/05/12 (月) 12:25
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
> こんな、用紙はどうでしょうね。
> http://www.sunhayato.co.jp/newproducts/souko/newssouko7.html#Label2
 これ、使っています。
 HPインクジェットの黒顔料インクとは相性がよいようで、1200dpi相当の古いDeskJet 720cでも、パターン/クリアランス0.25mmくらいいけそうです。
 最初に普通のOHPフィルムを使ったら、にじむは割れるは穴があくはとさんざんだったので、値段は高いけどその価値有りって感じです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re: 基板印刷用のプリンタ コメント数:  2件
  shirou   | a-ushiro@hdl.cco.jp 2003/05/12 (月) 06:57
こんな、用紙はどうでしょうね。
http://www.sunhayato.co.jp/newproducts/souko/newssouko7.html#Label2
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re^2: PLLのLoopFilter コメント数:  0件
  さとう 2003/05/12 (月) 01:28
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
Nontaさん情報とても参考になりました。ありがとうございます。
まだ実験していませんが、他の知り合いからも計算ツールを送ってもらったので、今回はなんとかなりそうです。

 私のようなアナログ音痴野郎にとっては、扱いやすいVCOモジュールがあるといいなぁと思います。
 私はXilinxのFPGAを使っていますが、ALTERAのCYCLONEにはPLL+VCOが内蔵しているようで、ちょっと魅力を感じています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re: 基板印刷用のプリンタ コメント数:  0件
  Daisuke! 2003/05/11 (日) 19:51
 http://www.ak.wakwak.com/~dh1011
プリンタが悪いのかどうかはわからんのですが、さっきプリント基板を作ったら、印刷ムラがそのままシマシマになってしまいました。おまけに超露光過多で...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: NP1003(B)のUCFファイル コメント数:  1件
  なひたふ 2003/05/11 (日) 08:36
> ていただいて見ていたのですが、NP1003にはUser I/Oが37ビット
> あるのに、UCFでは32ビット分しか定義されていない?ぽいの
> ですが、いかがでしょうか?

すみません。次の5行を追加してください。
NET "user<32>" LOC = "P12";
NET "user<33>" LOC = "P13";
NET "user<34>" LOC = "P19";
NET "user<35>" LOC = "P20";
NET "user<36>" LOC = "P21";
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re: 基板印刷用のプリンタ コメント数:  0件
  QEA 2003/05/11 (日) 04:25
> 基板の配線が綺麗にかけて、濃ゆく印刷できるプリンタを買いたいのですが
> どなたかお勧めの品はありませんか?

エプソンPM700+OHPシートでインク薄め、3度重ね印刷でやって
ました。今はジャンクのマイクロドライ+OHPですが、リボン幅毎
のずれのため、常用で0.5mmピッチが限界です。インクが剥げやす
い/印字面のゴミに弱いですが、遮光率は高いのでジャンク屋で
MDを見かけたら試してみるのも一興かと。(新品のMD5500買うほ
どのものでは無いです)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re: 基板印刷用のプリンタ コメント数:  0件
  とおる 2003/05/11 (日) 02:35
> 基板の配線が綺麗にかけて、濃ゆく印刷できるプリンタを買いたいのですが
> どなたかお勧めの品はありませんか?

私は、レーザー+トレーシングペーパーですが、きれいに出ます。
レーザー+OHPフィルムだと、ムラになります。
レーザープリンタは600dpi程度のジャンクで購入したものです。

インクジェットプリンタも持っていますが、OHPフィルムが高いのでフィルムつくりには
使用していません。
濃い薄いはプリンタの設定でどうにでもなるので、重要なのはにじまないこと
だと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re^6: CQ出版社Stratix評価キットEP1S10について コメント数:  0件
  martall   | martall_s@hotmail.com 2003/05/11 (日) 00:34
Stratix初心者さん

文字数制限に引っかかってしまいますので簡潔に。

イキナリStratixは立上げにも苦労しますでしょうから、
↓を参考図書として参考になさっては如何でしょう。

http://www.cqpub.co.jp/hanbai/books/33461.htm

電力ラインの計測部はそれこそ、そちらの「技術」ですから
お作りになることを検討なさっては如何でしょう??

課題克服、ご検討をお祈りします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 NP1003(B)のUCFファイル コメント数:  2件
  いしい 2003/05/10 (土) 22:59

いつも楽しくなひたふ新聞を読ませていただいております。
ありがとうございます。

さて、NP1003を購入して早速使おうとしているところで、
ちょっと気づいたので質問です。

http://www.nahitech.com/nahitafu/np1003hp/np1003s1.ucf
↑のアドレスからNP1003用のUCFファイルをダウンロードさせ
ていただいて見ていたのですが、NP1003にはUser I/Oが37ビット
あるのに、UCFでは32ビット分しか定義されていない?ぽいの
ですが、いかがでしょうか?

私はWebPackを熟知しているわけではないので、もし誤った
指摘だったら大変失礼してしまいますが。。。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re: 基板印刷用のプリンタ コメント数:  0件
  JUN猫 2003/05/10 (土) 22:02
個人的にはエプソンのMJ−800C+エプソン純正OHPシートで
やっておりまするが、0.635mmピッチでもOKでありまする。
これ以上は細かくしたことはないので不明。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re: 基板印刷用のプリンタ コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2003/05/10 (土) 18:07
こういうことですか? そのへんのやつでいいようですが。
http://web.archive.org/web/20030510015900/http://www.paken.org/aaf/kiban/kiban.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 基板印刷用のプリンタ コメント数:  10件
  バニーポップ 2003/05/10 (土) 12:44
基板の配線が綺麗にかけて、濃ゆく印刷できるプリンタを買いたいのですが
どなたかお勧めの品はありませんか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re^3: MCSとSVF コメント数:  0件
  たかはし 2003/05/09 (金) 21:25
ありがとうございました。
無事解決いたしました。なひたふさんの言われるデバイスの種類、数
につきましては、今回はXC1801-so20、XC2S50-PQ208で固定とし、
コンフィグはXC18V01のみとします。あとはなひたふさんのように
CONFIG命令を実行してXC2S50のコンフィグを行うようにする予定
です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 文字数制限に引っ掛かりましたので コメント数:  0件
  Stratix初心者 2003/05/09 (金) 19:12
 先程の返信の続きです。

> さらに問題は、商用電源の計測に関わる安全関連の知識の有無です。
> この辺は関しては十分な知識をお持ちなら失礼をお詫びいたしますが、
> もし、自信がおアリにならない場合は生命、財産に関わる問題ですので試作と
> いえども十分にお気を付けられ、専門家の助言を仰ぐことがよろしいかと思います。

 上記のような状況ですから、電源計測の安全関連の知識の有無と専門家の助言は、前者はともかく、、、
としまして後者はバッチリです。と思います。
 そんなこんなで、現在は、A/D変換とFPGAボードとをどのように組み合わせるかという問題に、更に、
FPGAボードとPCへの計測データ送受信はどうするのかという問題まで加わって、後退してるような感覚にも
時折襲われているような状況です。(^^;)

 A/D変換だけでなく、PCのインタフェースも考えているのですが、シリアルとパラレルの規格も多いようで、
ちょっと混乱気味です。こちらの方も、近々質問することになるかも・・・(汗)

 ではでは、またそろそろ課題に取り組んでみます。幅広い知識が必要になる課題で、
本当に涙が出てきそうです(苦笑)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^5: CQ出版社Stratix評価キットEP1S10について コメント数:  1件
  Stratix初心者 2003/05/09 (金) 19:10
> Stratix初心者さん、なひたふさん、はじめましてmartallと申します。
> 初めて書き込みさせていただきます。
>
 こちらこそ、はじめましてです、martallさん。

> まず、一般商用波形観測用とのことですが、Stratixは一般的にそのような用途より
> 時間あたり100〜1000倍の情報量を扱うところに使用されます。
> 100kサンプル台の用途は微妙なところですが、殆どの場合、マイクロプロセッサを
> 使用します。
> 中には電力量計の遠隔検針などに向けた専用のマイコンもあるくらいです。
> 数が少ない場合は汎用のマイクロプロセッサ+A/D計測回路がよろしいでしょう。
>
 真の目的は、100kサンプルというより、「FPGA分野への足がかりとして得意の電力分野
切り込んでいこう」なのです? だから、FPGAは外せないようなのです。課題出題者ともども
この分野には疎く、非効率な事も多々あるとは思いますが、これも致し方ないところと思って
います。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re^2: van del Pol 回路?(追記) コメント数:  0件
  テンガ 2003/05/09 (金) 15:59
個人研究者 様

情報ありがとうございます。拝見しましたが、手元にある回路の情報と似ております。今手元にある回路はBonhoeffer van der Pol (BVP)のようです。
こちらはダイオードが12個入っていました。

結局この回路がvan der Pol方程式を満たすのでしょうかね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re: PLLのLoopFilter コメント数:  1件
  Nonta 2003/05/09 (金) 13:30
さとうさん,始めまして.
Resがつかないようなので,僭越ながら一言.
僕のブックマークには,こんなのがありました.
「P L L 発振器の設計法(基礎)」
http://www3.ocn.ne.jp/~sugai/pll/
「マイコン制御−PLL回路編」
http://speana-1.hp.infoseek.co.jp/pll/pll_menu.htm
どちらも,初歩的な教科書の内容とたいして変わりません.

最近,切り口が違って面白くよめ,わかりやすい
と評判がよいのがこの本です.
「わかりやすいディジタルクロック技術」
http://www.amazon.co.jp/exec/obidos/ASIN/4274035549
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^2: MCSとSVF コメント数:  1件
  さとう 2003/05/09 (金) 10:53
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
 SVFのバイナリ形式であるXSVFプレーヤーをEZ-USBに対応させた物を公開しています。
 実用性は低いですが、参考にはなると思います。
http://members.tripod.co.jp/x1resource/xilinx/sp2isp/playxsvf.htm

 XC18V01をベリファイ有りで書き込んで38秒程度です。
 パラレルでは26秒程度なので汎用品ではこの辺が限界かな

> PCからFPGAにTDOとMASKデータを垂れ流して、
> FPGA内でVerifyをして、OKかNGかだけをフラグで返せば超速いでしょう。
 結局1行毎にコンペアが必要で、余分にMASKを送る分だけ遅い気がします。
 XC18Vxxの書き込みでパラレルより高速にするには、USB側にSVFプレーヤーそのものを積んで、PCから垂れ流すしかないでしょう。
 それならFPGAを使う意味はありですね!!

> USBは1msに1回しか送受信のチャンスが回ってこないので、
 バルク転送の場合は1msに1回ってことはないです。
 USB1.1の最大パケットサイズは64バイトなので、1msに1回なら死ぬほど遅いです。
 細切れ送信が遅いのは、送信要求をUSBのスケジューラーが実際にスケジュールするまでに、かなり待たされるのではないかと推測しています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re: MCSとSVF コメント数:  2件
  なひたふ 2003/05/09 (金) 00:35
> これと関連してMCSファイルからかもしくはSVFからPROMを
> コンフィグをするようにしたいのですが、アルゴリズムも合わせて
> 知りたかったのでSVFを出力してみましたところ、MCSファイルとの
> データの整合が取れません。
いえ、MCSファイルそのものですよ。
SVFファイルは前後が反転します。行を後ろから読んでください。

> ただ、さとうさんがおっしゃるように、Verifyに関しては入力
> の問題があるのと、スピードが今ひとつかもという問題があります。
本格的にFPGAをJTAGコンフィグに使うならば、
PCからFPGAにTDOとMASKデータを垂れ流して、
FPGA内でVerifyをして、OKかNGかだけをフラグで返せば超速いでしょう。
でも、一般にJTAGチェーンの長さは不明なので、
汎用的なものを作るのは技術的には難しいと思われます。
デバイスの数と品種を固定すれば難しくはないと思います。

PCでベリファイするなら、USBのスケジューリングが問題です。
USBは1msに1回しか送受信のチャンスが回ってこないので、
1回のチャンスでいかに多くのデータを送るかが決め手です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^2: NAXJPがUSBに対応 コメント数:  0件
  なひたふ 2003/05/09 (金) 00:28
>  USB(というよりパラレル)->JTAG変換だけに使うのはちょっと贅沢ですね。
>  FPGAで組むならフリーのUSB-IPを使ってUSBごと入れてしまいたいところです。
私もFPGAは不要と考えております。

>  それと転送を小分けにしちゃうと、USBのスケジューラーやドライバ層のところでさんざん待たされちゃいます。

おっしゃるとおり。最初にXC18V512をコンフィグしたときには、
推計で60分くらいかかる仕組みでした。
※JTAGって、ゆっくりやる分にはOKなんですね。

>  USBはパケット通信なので、これは仕方がないことですが。
ある程度のデータをまとめて送受信することで解決しています。
具体的には読み込みが発生する前に
バッファに溜め込んだ書込み系の動作を一気に行うわけですが、
次の2つの問題が残っています。
・RUN TEST IDLEの時間を待つこと→マクロセル20個くらいで可能
・PC側のバッファオーバーフロー→しっかりとプログラムを書く
と、このように解決策はわかっています。あとは時間の問題です。

なお、XC18Vならかなり効率よく書込みできますが、
XC95は極めて遅くなってしまいます。
まだまだスケジューリングのアルゴリズムに改良の
余地はあると思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^2: NAXJPがUSBに対応 コメント数:  0件
  なひたふ 2003/05/09 (金) 00:11
> お待ちしておりました。すばらしいですね。
> ボードには興味深々だったのですが。
ありがとうございます。

> これって最初の1回目のコンフィグはパラレルから実行
> することになるのでしょうか。
おっしゃるとおりです。
でも最近は、パラレルポート直結です。

今後出荷するNP1003ボードには、
FPGA OSか、USB書込み回路か、あるいはブランクかを
選べるようにいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 MCSとSVF コメント数:  3件
  たかはし 2003/05/08 (木) 21:39
たかはしと申します。

なひたふさんのUSB経由のコンフィグに関連しまして質問させてください。

わたしもBitBangモードに興味がありまして、コンフィグに
使用できないものかと考えておりました。AppNoteにもFPGAの
コンフィグ用に追加した拡張モードと書いてありますので。

これと関連してMCSファイルからかもしくはSVFからPROMを
コンフィグをするようにしたいのですが、アルゴリズムも合わせて
知りたかったのでSVFを出力してみましたところ、MCSファイルとの
データの整合が取れません。
MCSのフォーマットについてのXilinxアンサーも読んで照らし合わせた
のですがよくわかりません。

読み込みができさえすればあとはSVFのアルごりム通りに流し込む
だけなんですが。PROMもXC18V01-SO20固定にします。

ただ、さとうさんがおっしゃるように、Verifyに関しては入力
の問題があるのと、スピードが今ひとつかもという問題があります。
またBitBangモードについての資料が少ないので苦労しています。

できれば自力でやりたかったのですが、みなさまのお知恵を拝借
できればと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re: NAXJPがUSBに対応 コメント数:  1件
  たかはし 2003/05/08 (木) 21:29
はじめまして。たかはしと申します。

これまで読んでるだけで非常に有用な情報をいただいて
おりましたがこの度参加させていただきました。

> やっと、NAXJPがUSBに対応しました。

お待ちしておりました。すばらしいですね。
ボードには興味深々だったのですが。

> FPGAが出力するJTAG信号で、自分自身のコンフィグROMを
> 書き換えたりもできます。

これって最初の1回目のコンフィグはパラレルから実行
することになるのでしょうか。

これと関連して別に皆様におうかがいしたいことが
ありますが、長くなりますので別に立てます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re: van del Pol 回路?(追記) コメント数:  1件
  個人研究者 2003/05/08 (木) 19:10
検索してみると、van der Pol system についての論文は沢山見かけましたが、具体的な回路図がなかなか見つかりませんね。電子回路では Chua's Circuit が有名なようで、下記のサイトにありました。
http://engnet.anu.edu.au/DEcourses/engn2211/doc/chua.html

図は下記のサイトの方が見やすいのですが、これは厳密には van der Pol system と言うよりはカオスのシミュレーションのようです。違いはダイオードが2個入っているだけのようですが。。。
http://home.tiscali.be/kpmoerman/special/special.htm

オペアンプで負性抵抗を作って非線形回路にするところがポイントのようです。
因みにvan der Polと言う人は真空管で多くの回路を作ったらしいのですが、その実験中に「回路の状態が変化するところで不規則なノイズが聞こえた。」らしく、これが最初のカオスの観測と言われているようです。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 PLLのLoopFilter コメント数:  2件
  さとう 2003/05/08 (木) 19:04
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
 PLL+VCOで、ループフィルタをラグリード型で調整中なのですが、アナログ音痴のため乗数の決め方が分からず苦戦しています。
 ループフィルタの具体的な乗数の決め方、電源フィルタの設計方法に関する情報はWeb上にないでしょうか?
 VCOはリングバッファ式の専用ICで、周波数は25MHz〜100MHz程度です。
 PLL部はFPGAを使いますが、こちらは完成済みです。
http://members.tripod.co.jp/x1resource/xilinx/vco/top.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re^3: NAXJPがUSBに対応 コメント数:  0件
  さとう 2003/05/08 (木) 18:56
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
>BitBangモードについては、興味はあるのですが、
>ドキュメントを読んでいないため、理解していません。
 JTAGプローブ用途で調べたことあります。
 設定したボーレートのクロックレートに合わせてパケットデータを8ビットずつ垂れ流しになるので出力はOKです。
 問題は入力で、サンプルでは現在のレベルを単純に取得する方法だけしか書いてありませんでした。

 クロック毎に垂れ流した分だけポートをリードバック可能ならJTAGとして利用可能で、速度はポートでクロックも叩くことになるので、最高でも片方向でTCK=500KHz程度、リードバックも行うと250KHz程度となります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re: NAXJPがUSBに対応 コメント数:  1件
  さとう 2003/05/08 (木) 18:54
 http://members.tripod.co.jp/x1resource/xilinx/index.htm
 XC18VxxのUSB書き込み対応おめでとうございます。

>拙作のNP1003ボード上のFPGAでUSB→JTAGの変換を行います。
 USB(というよりパラレル)->JTAG変換だけに使うのはちょっと贅沢ですね。
 FPGAで組むならフリーのUSB-IPを使ってUSBごと入れてしまいたいところです。

> でも、USBは期待したほど速くはないですね。
> 12Mbit/secなのでパラレルポートの最高速度とほぼ同じくらいでしょうか。
 プリンタでもそうですが、パラレルのEPPやECPよりは遅いですね。
 それと転送を小分けにしちゃうと、USBのスケジューラーやドライバ層のところでさんざん待たされちゃいます。
 USBはパケット通信なので、これは仕方がないことですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 van del Pol 回路?(追記) コメント数:  2件
  テンガ  | ats_yuki511@yahoo.co.jp 2003/05/08 (木) 03:36
追記ですが、具体的な目標は
『van der Pol回路にノイズを加えてオシロスコープで解析する』
です。
電気、情報系の大学の人とのコンタクトをとったり、電気電子系の論文を読んだのですが、本当に今手元にある回路の情報がvan der Pol回路になるのかどうか分かりません。
とにかく回路によるシュミレーションができる回路を『作る』ことが第一目標です。
本当に詰まりましたm(_ _)mどんな情報でもいいので、よろしくお願いいたします。

《機械系で、電子回路知識、回路製作スキルは初学者です》
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 van del Pol 回路? コメント数:  3件
  テンガ  | ats_yuki511@yahoo.co.jp 2003/05/08 (木) 02:56
初めまして。色々な文献を調べてみたのですが、まったく分からないので質問します。
メトロノームのシミュレーションとして、van der Pol回路(van der Pol方程式を満たす回路)を製作することになったのですが、この回路についての情報が少なく見つかりません。それらしいのは見つかったものの、具体的にどの部品を用いて作ればいいか分からず、電子回路の分野が初めてで、何から手をつけていいのかも分からない状態です。

このことに関しての知識をお持ちでしたら、ご教授お願いしたいのですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^2: NAXJPがUSBに対応 コメント数:  1件
  なひたふ 2003/05/08 (木) 02:13
> 待ってました。正式版の公開を楽しみにしています。
ありがとうございます。

> これは、NP1003の FT245BMの Virtual Com Port を利用しているのですか?
いえ、Direct Driverです。

> また、FT245BM + FPGA が必須のようですね。
74HC32とか、74HC74とか汎用ロジックのレベルで十分ですが、
GAL16V8くらいは欲しいです。

> naxjp のUSB対応が待ちきれず、FT245BMを購入して、BCC 5.5 をダウンロードして改造しようかな、と思い始めたところでした。

いま、NAXJPから物理層を切り離して、
あらゆる物理層に対応できるように改良中です。
したがって、物理層は問いません。
もし、いまお手元にUSB関連ボードがあれば、
喜んで対応させていただきます。
対応インタフェースを増やすことが現在の至上命題です。
世界標準の汎用USB I/Oみたいな規格ってないですかね・・・?

> 可能ならば、FT245BM の BitBang モードを使って
> FT245BM単体で JTAG 経由の書き込みができるようになると、
> 超うれしーです。速度的に無理かもしれませんが、、
BitBangモードについては、興味はあるのですが、
ドキュメントを読んでいないため、理解していません。
理解したら、また報告します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re: NAXJPがUSBに対応 コメント数:  2件
  こんどう  | nkon@bf.wakwak.com 2003/05/07 (水) 23:27
 http://www.bf.wakwak.com/~nkon/
> やっと、NAXJPがUSBに対応しました。
> 拙作のNP1003ボード上のFPGAでUSB→JTAGの変換を行います。
> FPGA内のUSB→JTAG変換回路では、FPGAのスライスは30しか消費しません。
> (XC2S30全体の7%程度)

待ってました。正式版の公開を楽しみにしています。

これは、NP1003の FT245BMの Virtual Com Port を利用しているのですか?
また、FT245BM + FPGA が必須のようですね。

naxjp のUSB対応が待ちきれず、FT245BMを購入して、BCC 5.5 をダウンロードして改造しようかな、と思い始めたところでした。

可能ならば、FT245BM の BitBang モードを使って
FT245BM単体で JTAG 経由の書き込みができるようになると、
超うれしーです。速度的に無理かもしれませんが、、
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^4: CQ出版社Stratix評価キットEP1S10について コメント数:  3件
  martall   | martall_s@hotmail.com 2003/05/07 (水) 16:31
Stratix初心者さん、なひたふさん、はじめましてmartallと申します。
初めて書き込みさせていただきます。

Stratix初心者さん、もう問題は解決なさいましたでしょうか??
ROMさせていただいていたんですが、気になってRESさせて頂きます。

まず、一般商用波形観測用とのことですが、Stratixは一般的にそのような用途より
時間あたり100〜1000倍の情報量を扱うところに使用されます。
100kサンプル台の用途は微妙なところですが、殆どの場合、マイクロプロセッサを
使用します。
中には電力量計の遠隔検針などに向けた専用のマイコンもあるくらいです。
数が少ない場合は汎用のマイクロプロセッサ+A/D計測回路がよろしいでしょう。

さらに問題は、商用電源の計測に関わる安全関連の知識の有無です。
この辺は関しては十分な知識をお持ちなら失礼をお詫びいたしますが、
もし、自信がおアリにならない場合は生命、財産に関わる問題ですので試作と
いえども十分にお気を付けられ、専門家の助言を仰ぐことがよろしいかと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 相互リセット回路 コメント数:  0件
  まさ 2003/05/07 (水) 11:30
相互リセットIC TC9135BP(東芝)を使用していたのですが、生産中止になり代替デバイスを探しています。もしくはハードロジックで設計する場合のヒントなどありますか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re: 充電制御IC コメント数:  0件
  軽石 2003/05/06 (火) 16:41
こんにちは源之助さん

> ナショセミ、リニアテクノロジー、ルネサス、MAXIM、TI・・・など
> 探してみましたが条件にあう物は見つけられませんでした。
> マイコン不要という条件がなければいくつかあるんですけど。

なぜマイコン不要という条件が付くのでしょうか?

PICを使えば環境を整えるのにそれほど費用が掛からないのでそれほど毛嫌いする事は
ないと思います。

状態検出、保持などはマイコンがあれば簡単に制御できますし、ICの単価も8ピン用を使えば百数十円でできます。
(これらをハードで組む事を考えたら私はPICを使う事を選択します)

よろしければ検討して候補から外れたICの一覧などを公開していただけるとありがたいです。
(満たしていない条件などを書いていただければ対応する方法などを提示できるかもしれません。)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re: 充電制御IC コメント数:  0件
  タンタン 2003/05/06 (火) 10:26
こんにちは、タンタンです。

> はじめまして。
> 充電制御ICでお薦めのものをご存知でしたらご紹介いただけないでしょうか。
>
> 条件は単3のNiCd/NiMHを6セル直列で急速充電し、充電完了を検出して
> トリクル充電に切り替わる物で、電流検出抵抗が電池の−極とGNDの間に
> 入らず、入力電源が切れているときに分圧抵抗などによる電池の放電が
> できるだけ少なく、外部のuCによる制御が不要なものです。

希望のものを見つけるのは大変のようですね。
その代わりに、MAX471のような、電流検出アンプを使って、ハイサイドの
電流をローサイドの電圧に変換するのはどうでしょうか。

充電ICには、MAX713等が使用可能と思います。
実験はしていないので、うまく動くかどうか保証は出来ませんが。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 NAXJPがUSBに対応 コメント数:  7件
  なひたふ 2003/05/06 (火) 05:46
やっと、NAXJPがUSBに対応しました。
拙作のNP1003ボード上のFPGAでUSB→JTAGの変換を行います。
FPGA内のUSB→JTAG変換回路では、FPGAのスライスは30しか消費しません。
(XC2S30全体の7%程度)

FPGAが出力するJTAG信号で、自分自身のコンフィグROMを
書き換えたりもできます。
http://www.nahitech.com/temp/naxjp-self.jpg
書き換え時間は、消去とベリファイも含めて10秒程度です。
FPGAが動きだした後では、もうコンフィグROMは読まれないから、
このようなセルフ書き換えができます。

また、ヒューマンデータさんのXSP006B上の、
XC18V02をコンフィグすることもできました。
書き換え時間は、消去とベリファイも含めて22秒程度です。
http://www.nahitech.com/temp/naxjp-xsp006.jpg
次のようにコマンド入力だけで、書き換えできます。
http://www.nahitech.com/temp/naxusb1.png

これで、ノートパソコンでもFPGAがコンフィグできるようになりました。
パラレルポートともおさらばできそうです。

でも、USBは期待したほど速くはないですね。
12Mbit/secなのでパラレルポートの最高速度とほぼ同じくらいでしょうか。

ともかく、あと数日デバッグをしてから公開します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 充電制御IC コメント数:  2件
  源之助 2003/05/05 (月) 14:43
はじめまして。
充電制御ICでお薦めのものをご存知でしたらご紹介いただけないでしょうか。

条件は単3のNiCd/NiMHを6セル直列で急速充電し、充電完了を検出して
トリクル充電に切り替わる物で、電流検出抵抗が電池の−極とGNDの間に
入らず、入力電源が切れているときに分圧抵抗などによる電池の放電が
できるだけ少なく、外部のuCによる制御が不要なものです。

ナショセミ、リニアテクノロジー、ルネサス、MAXIM、TI・・・など
探してみましたが条件にあう物は見つけられませんでした。
マイコン不要という条件がなければいくつかあるんですけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re: CQ出版社Stratix評価キットEP1S10について コメント数:  0件
  おちあい  | user@sol.dti.ne.jp 2003/05/05 (月) 11:47
>CQ出版社に問い合わせようにも、HPも繋がらないようなので、もし、ご存知でしたら、教えて頂けないでしょうか。宜しくお願いします。

5/3〜5/5までメンテナンスでHPは停止中だそうです。
この規模の会社で3日も停止させるなんで非常識ですね。ちなみにサーバはIISのようです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 XC18VのCFピン NAXJPが対応 コメント数:  8件
  なひたふ 2003/05/05 (月) 03:44
iMPACTで「Load FPGA」オプションを入れると、
VQ44パッケージでも「USE D4 for CF」も強制的に入ってしまう。
20ピンのパッケージでなくても、本当にD4がCFの代わりになってしまって、
本来のCFピンがCFピンとしての機能をしなくなる。
また、iMPACT自体にバグがあるみたいで、
そもそもiMPACTが行う手順ではCFピンの機能が働かない。
この2つの理由からiMPACTではCFピンの機能が使えない気がします。

どなたか、XC18VシリーズのCFピンを使ったことある人いませんか?

さて、このたび、NAXJPをCFピンの機能に対応させました。
http://www.nahitech.com/nahitafu/naxjp/naxjp-j.html

また、私のパソコンでは、パラレルポートと直結で
SpartanIIとXC18V512のJTAGチェーンに書込みができました。
http://www.nahitech.com/nahitafu/naxjp/nax-direct.jpg

TCKとGNDの間に100pFのコンデンサを入れたらうまくいきました。
パラレルポートの電圧は4V近く出ています。
(運が良かっただけ?)

是非お試しください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re^2: RE:BGA コメント数:  0件
  FREE WING 2003/05/04 (日) 18:43
> 半田ボールの所にランドがあるのは当然なのでこの場合はスルーホールを配置
> するという意味だと思います。

 フォローありがとうございます。そのとおりです。

> http://digit.que.ne.jp/visit/
> これを見た時には衝撃が走りました。

 こちらのリンクを拝見しましたが、凄いの一言です。
 オーブンで融けるマーカーとかステンシルとかもあり、進化しているんですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re: 半端整流回路について コメント数:  0件
  なひたふ 2003/05/04 (日) 13:19
>どうすれば完全な直流になりますか?

どこまでの変動なら大目に見るかということになります。
100mVくらい変動してもいいなら、スイッチングレギュレータを選び、
mVオーダーの高精度基準電圧源ならシャントレギュレータを選びます。

交流を整流する場合、完全な直流にはなりません。
いちばんの直流は電池でしょう。
レーザの波長安定化装置などでは、
大容量の鉛蓄電池で駆動しているものもあります。

シリーズ三端子レギュレータは、
水道の蛇口に監視員が付いているようなもので、
出口の水圧を一定にするため、
出口をモニタしながら監視員が蛇口をいつも調整しています。
この監視員が誤差増幅回路というやつです。

絶対に入力の何百分〜何万分の1の変動は出力に出てしまいます。
また、交流が高い周波数で変動すると、(例えばノイズ)
平滑する能力が落ちてしまいます。
つまり、入力の変化が速いとついてこれないわけです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re^3: 3端子レギュレーターについて コメント数:  0件
  軽石  | nbf02247@nifty.ne.jp 2003/05/04 (日) 13:09
こんにちは

> > 学校の宿題ですか?
> > 自分で考えてみましたか?
> はい…宿題です。図書館に行って探してもなかったので…(汗     

検索エンジンを使って見ましたか?
googleなどを使ってメーカの仕様書を入手するのもひとつの手です。
メーカからはデータシート(チップの仕様)とアプリケーションノート(使い方)
が入手できるようになっています。

> 自分の考えるものとして、電源の電圧の変動や負荷によって出力電圧が変化しないように規定値を超える分を発熱によって消費する放熱板に目をつけたのですが、放熱板には必ず限界の値があると思うんです。どれぐらいの電圧まで耐えられるのでしょうか?

その点を確認するのがデータシートです。
入手すれば最大定格(これがICの限界)が書かれています。
放熱板の設計は消費する電流が判らないだせません。
たいていは入力電圧、消費電流から必要な放熱板のサイズを設計します。

>あと、もう一つの欠点がわかりません。どうか教えて下さい。

欠点を考える時に利点を考えて見るのもよいですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re: 半端整流回路について コメント数:  0件
  Nonta 2003/05/04 (日) 11:18
< 学生に対して前振りを何か用意していないと、提出してもらった
< レポートがぐちゃぐちゃになって、採点するのが大変なんですよ。
そう言うことだったら,教えてあげますから,センセを困らせてください.

< どうすれば完全な直流になりますか?
3端子レギュレータを付ければ,ほぼ完全な直流になります.

半端(面白いガッコですね,生徒に半端モンが多いのでしょうか?)整流回路の解析は,
ここにありますから,いろいろ趣味レーションしてみてください.
http://homepage1.nifty.com/th3/rect.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 宿題関連 コメント数:  0件
  安藤  | dkadn007@kyoto.zaq.ne.jp 2003/05/04 (日) 10:53
> ダイオードを用いた整流回路について・・・

敵(指導教員)は、必ずヒントとかを前振りで用意しているはずですが・・・
授業で何も考えないでノートを取るだけとかやってませんか?

というか、学生に対して前振りを何か用意していないと、提出してもらっ
たレポートがぐちゃぐちゃになって、採点するのが大変なんですよ。

まずは何を教えてもらったか、よく思い出してください。
それらを組み合わせて、何とかできないかを考える。
図書館で調べたり、ネットで訊くのは、それからです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 半端整流回路について コメント数:  3件
  高専野郎 2003/05/04 (日) 07:23
ダイオードを用いた整流回路について、交流から直流に変換されていく過程を調べる時、半端整流回路では、電源出力が、完全な5Vにならないんですが、どうすれば完全な直流になりますか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re^2: 3端子レギュレーターについて コメント数:  1件
  高専野郎 2003/05/04 (日) 07:09

> 学校の宿題ですか?
> 自分で考えてみましたか?
はい…宿題です。図書館に行って探してもなかったので…(汗     
自分の考えるものとして、電源の電圧の変動や負荷によって出力電圧が変化しないように規定値を超える分を発熱によって消費する放熱板に目をつけたのですが、放熱板には必ず限界の値があると思うんです。どれぐらいの電圧まで耐えられるのでしょうか?あと、もう一つの欠点がわかりません。どうか教えて下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re^3: CQ出版社Stratix評価キットEP1S10について コメント数:  4件
  Stratix初心者 2003/05/03 (土) 20:53
> AD変換に必要な精度と速度、電圧の指定はありますか?
> 用途を指定していただいても結構です。
> ADコンバータを探すときには、まずはそのパラメータが重要です。
>
 返信ありがとうございます。
 用途は、一般商用電源100V、電圧波形観測用。指定は、12bit、サンプリング周波数100kHz以上です。

 最終的に、FPGAボードでサンプリング周波数100kHz以上で商用電源を計測する事が狙いのようです。(離島などにおいて、電源の周波数と電圧が既定値から外れる瞬間の計測機器と思います)

 GW中の余暇を楽しまれている時間を削って助けていただいて本当に有り難うございます。m(_ _)m

> 例)
> 0.1Vpp サンプリング間隔5ns 8bit イオン計測
> -5〜5V サンプリング間隔10ns 16bit 電源波形観測
> -10V〜10V サンプリング周波数100kHz 24bit 汎用
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re^2: CQ出版社Stratix評価キットEP1S10について コメント数:  5件
  なひたふ 2003/05/03 (土) 18:29
AD変換に必要な精度と速度、電圧の指定はありますか?
用途を指定していただいても結構です。
ADコンバータを探すときには、まずはそのパラメータが重要です。

例)
0.1Vpp サンプリング間隔5ns 8bit イオン計測
-5〜5V サンプリング間隔10ns 16bit 電源波形観測
-10V〜10V サンプリング周波数100kHz 24bit 汎用
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: CQ出版社Stratix評価キットEP1S10について コメント数:  6件
  なひたふ 2003/05/03 (土) 18:18
>そこで、まず、Stratix評価キットEP1S10とは何かから調べようと
>CQ出版社のHPにアクセスしようとしたのですが、
>HPが検出できなくなって困っています。
確かに見えませんね。
たまたまWebサーバが落ちているだけだと思います。
連休が明けたら回復するのではないでしょうか?
でも、Googleのキャッシュは見えました。

トラ技6月号の広告でSpa2Eボードが載ってましたので、
同じようなものとして考えることにします。

>拡張ポートに接続可能な回路を扱っているところが見つかりません。
たぶんないでしょう。
一般に評価キットのピンは、FPGAのピンが外に出ているだけです。
適当なADボードをトラ技の広告で探すか、
適当なAD変換ICを購入し、
自分で拡張ポートのピンの役割を決めて、
ADコンバータと接続することになります。

それにしてもFPGA+ADというのは少ないですね。
それじゃ、つくってみましょうか!?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 CQ出版社Stratix評価キットEP1S10について コメント数:  8件
  Stratix初心者  | tikutaku25@lycos.jp 2003/05/03 (土) 17:25
 こんにちは。お初になります。

 CQ出版社Stratix評価キットEP1S10を使用して、電圧・周波数をサンプリングして計測する装置を作成するという課題を出されました。そこで、まず、Stratix評価キットEP1S10とは何かから調べようとCQ出版社のHPにアクセスしようとしたのですが、HPが検出できなくなって困っています。出来れば、お手伝い頂けないでしょうか。m(_ _)m

 最初に、A/D変換が必要になるので、まずStratix評価キットEP1S10に接続可能なA/D変換回路の入手を考えているのですが、拡張ポートに接続可能な回路を扱っているところが見つかりません。CQ出版社に問い合わせようにも、HPも繋がらないようなので、もし、ご存知でしたら、教えて頂けないでしょうか。宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^2: 3端子レギュレーターについて コメント数:  0件
  森 秀樹 2003/05/03 (土) 05:35
そうそう、3端子のレギレータICでも、
スイッチング方式もあり、入力より高い電圧を取り出す物があります。
また、シリーズでも、入出力の電位差が1V程度の物があって、
この場合、電圧差が小さければ熱は持ちません。

もちろん、こんなものは、高い場合が多いわけで。。
そこまで考えると、3端子レギレーターは、シリーズ電源をIC化した
だけと思っている出題者に文句を付けるのが学生の根性のみせどころ
じゃないだろうか。

わたしは、この手の手法で授業をよく妨害します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re: 3端子レギュレーターについて コメント数:  1件
  森 秀樹 2003/05/03 (土) 05:26
多分、学校の宿題なんだろうねえ。

私なら、先生に文句つけていますねえ。そもそも、
大抵のものは、欠点ではなくて、特性というか、そういうもんですから、

多分、題意から考えるに

熱を持つとか、出力より高い電圧が必要とか、効率が悪いとか、
決められた電圧しか出ないとかが、答えなんだろうけど。。

熱を持つのは使い方が悪いわけで、効果的な使い方していないとか、
価格に見合った使い方なわけで。
また、出力より高い電圧が必要なのは特性だし、
決められた電圧しか出ないといっても、多少調整する方法はあるわけ
だし、そもそも、何に比べて欠点なのか。

例えばシステムで見たときに、ノイズが少ないのがいるとか、価格が
安いのがいるとか、部品点数を減らしたいとか、長寿命にしたいとか、
全体の価格を下げたいとか、そういうのにもよるでしょう。

それは、学生をテストの結果で見るか、努力で見るのか、忠実度で見るのか
体力で見るのか、人格を見るのかで違うとしか答えられない。

ともかく、自分で考えろや
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^2: 3端子レギュレーターについて コメント数:  0件
  Nonta 2003/05/02 (金) 22:02
> 3端子レギュレーターの欠点を最低2つ教えてください。
設計手法として,トップダウン設計手法というのがあります.
それに従って考えれば,屁の河童です.
まず,理想レギュレータの概念を定立します.
現実のレギュレータとの差異を調べます.それが,欠点です.
簡単にあげると;
理想レギュレータ:価格ゼロ,形状ゼロ,損失ゼロ(効率100%),等々
従って,現実の3端子レギュレータの欠点は「高い」,「大きい」,「熱い」です.
簡単でしょう?他のことも考えてみてください.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re: 3端子レギュレーターについて コメント数:  1件
  通り掛かり 2003/05/02 (金) 20:13
> 3端子レギュレーターの欠点を最低2つ教えてください。

欠点があるとは思いませんね。商品化されて30年近くなる
部品でいまだに現役です。完成されたものと言えませんか?

3端子で構成したために省いた機能やできない事は
いくつかあげることはできますが、それを欠点とはいいません。

できない事は、、、たとえば4端子、5端子のレギュレータ
があります。追加されている端子が担う機能、、がそれに
あたります。

4,5端子のレギュレータのデータシートを入手して、
3端子のそれと比べて余計についている
端子の名前、その端子の役割を調べてみてください。

推理小説を読む前に
犯人を教えてもらってはつまらないです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re: 3端子レギュレーターについて コメント数:  2件
  なひたふ 2003/05/02 (金) 20:03
> 3端子レギュレーターの欠点を最低2つ教えてください。
なぜ最低2つなのでしょう?
学校の宿題ですか?

自分で考えてみましたか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 3端子レギュレーターについて コメント数:  7件
  高専野郎 2003/05/02 (金) 17:41
3端子レギュレーターの欠点を最低2つ教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re: RE:BGA コメント数:  1件
  ぽりたん 2003/05/01 (木) 23:15
こんばんわ。

> > あと、個人ベースでの基板設計ではハンダボールの所にランド位置をあてがえば・・・・

> 基板を見ると判るのですがはんだボールすべてに対してスルーホールがあるとは限りません。

半田ボールの所にランドがあるのは当然なのでこの場合はスルーホールを配置
するという意味だと思います。

私も、スルーホールを置いて裏から半田を流してやればよさげな気はしますが
そんな基板は持って無いので試したことはないです。

ホットプレートを使う方法は大学の時に研究室で行われていましたが
意外にうまくいくようです。私は見ていただけなのでコツはわかりませんが。

もちろんプレートにべったり密着するように半田面に部品やリードがあっては
ダメだし、他の部分も溶かしてしまうので一番最初にやらないといけません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 RE:BGA コメント数:  2件
  軽石 2003/05/01 (木) 19:33
スレッドが流れているのであえて新規にしています。

こんにちはFREE WINGさん

情報ありがとうございます。

> あと、個人ベースでの基板設計ではハンダボールの所にランド位置をあてがえば裏からコテで温めれば表面のハンダを溶かして巧い事つながるのでは?などと思っております。

残念ながら通常のハンダこてでは難しいです。
基板を見ると判るのですがはんだボールすべてに対してスルーホールがあるとは限りません。
(通常のハンダこてでは熱を供給できない)

自分が入手した情報ではなく成松さんの所で紹介されていたBGA乗せ換えのURLを下に示します。

http://digit.que.ne.jp/visit/

これを見た時には衝撃が走りました。
ここまでやる人が居たのか・・・・・。m(_._)m「やってなんぼですね」
リペア用のハンダボールも入手しており、すばらしいの一言でした。

思わずヒートガンを買おうと思ってしまいました。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re: BGA コメント数:  0件
  FREE WING 2003/05/01 (木) 19:21
 http://hp.vector.co.jp/authors/VA014069/
>  油で揚げて取り外す方がいらっしゃるうようですが、低温半田+ホットプレートなどで、手付は可能な物でしょうか?

 下記URLに個人レベルでBGAのハンダ付けを試みてる方がいます。
http://wwwbode.cs.tum.edu/~acher/bga/index.html

 グラフィックチップの様な大きい物は不明ですが、とある工場ではCSP等の10mm*10mm程度の小さなものはホットプレートで取り外し&リペア取り付けを行なっております。
 (もちろん実装工程ではX線での検査を行なっております。)

 あと、個人ベースでの基板設計ではハンダボールの所にランド位置をあてがえば裏からコテで温めれば表面のハンダを溶かして巧い事つながるのでは?などと思っております。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re^2: はじめまして コメント数:  0件
  ゆぅと 2003/04/30 (水) 01:13
レスありがとうございます!!!!
説明、すごくわかりやすかったです(^-^)

猛スピードで進んでいくハードウェア実験中なのでこれからもお世話になるかもしれません。よろしくお願いします。

本当にありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 えぇとですねぇ… コメント数:  0件
  ゆぅと 2003/04/30 (水) 01:06
レスありがとうございます。
実は紹介してもらったページ、カキコする前に読んでたんですが、回路が調べているものと少し違うので…。

インバータを1つ使用し、コンデンサの先が接地してあるんです。なので動作原理がかわってくるのかなぁと思いまして…。

初心者中の初心者なので困ったものです(^_^;)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re: はじめまして コメント数:  1件
  なひたふ 2003/04/30 (水) 01:01
> 突然ですが,シュミットゲートを用いた無安定マルチバイブレータの動作原理を教えてもらえないでしょうか?色々調べてみたのですが…よくわかりません.

ゲートの入力にはコンデンサがあって、
入力と出力間を抵抗でつないでいる発振回路ですよね。
原理は普通の発振回路より簡単ですよ。
シュミットトリガの発振回路は、
H→LとL→Hの遷移を別々のプロセスで考えればいいからです。

スタート時、コンデンサの電荷が0のとき、
入力電圧は0ですからゲートの出力はHになります。
すると、抵抗を通じて徐々にコンデンサが充電され、
ゲートの入力電圧が上がります。
入力電圧が閾値を超えたとき、ゲートの出力は反転します。
出力がLになり、ゲートの入力電圧は抵抗を通じて
出力からLに引っ張られますので、コンデンサは徐々に放電します。

もし、シュミットトリガではない普通のゲートを使った場合、
コンデンサが充電されて入力電圧が上がり、出力が反転した際、
コンデンサが放電に転じ、入力電圧が下がるため、
平衡に達してしまいます。

充電と放電が反転するタイミングに時間差をつけるために、
シュミットトリガであることが重要になってきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 マルチバイブレータ動作原理? コメント数:  1件
  軽石  | nbf02247@nifty.ne.jp 2003/04/30 (水) 00:25
こんにちはです。

> こんばんは.初めてカキコさせていただきます.
>
> 突然ですが,シュミットゲートを用いた無安定マルチバイブレータの動作原理を教えてもらえないでしょうか?色々調べてみたのですが…よくわかりません.

どんな所を見て理解できませんでしたか?

検索はgoogleを使って検索してみると良いと思います。

とりあえず今回の「無安定マルチバイブレータ」でヒットした最初のWebを紹介します。

http://www.hobby-elec.org/

この説明を読んで理解できなかったら、どの部分が理解できないか説明してください。
そうすればいろいろとアドバイスが出てくると思います。

実は動作原理をよく知らないので説明できなかった軽石でした。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.