なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re^5: 1ビットDAC(ITU-T勧告の入手) コメント数:  2件
  なひたふ 2004/01/17 (土) 01:43
こんばんは

> オフトピックスですが、ほとんどのITU-Tの勧告は、メールアドレス,住所などを登録することにより3回までダウンロード可能です。

情報ありがとうございます。
無償でダウンロードできるのであればしてみたいですが、
妥当な金額でればお金を出しても買ってもいいと思っています。

また、1ビットのΔΣについて、
昨年のトラ技にもちょろっと記事があるのですが、
理論的な説明は何もなく、
肝心な部分は何もかかれておらず、これでは全く役に立ちません。

ΔΣの基礎はもちろん、高次のΔΣや多ビットのΔΣの構成法や理論に
ついて知りたい思い、AMAZONで洋書を買いました。
http://www.amazon.co.jp/exec/obidos/ASIN/0780310454/249-5120058-6870709
良書なのかどうかはまだわかりませんが、
やはりしっかりと理論的に部分がかかれています。

ΔΣを使って今年はいろいろな面白いものがつくれればと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re: 閉館のお知らせ コメント数:  0件
  なひたふ 2004/01/16 (金) 12:43
石山様
ご連絡ありがとうございます。
心よりお見舞い申し上げます。
また、一日でも早い環境の復旧をお祈りしております。
リンク再開の際は是非ご連絡ください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 閉館のお知らせ コメント数:  1件
  Ishiyamaドリームの管理者 2004/01/16 (金) 11:59
拝啓、リンクで御世話になっております。Ishiyamaドリームの管理者の石山です。
実は、昨年の10月5日に私の家が火災で全焼してしまい、趣味の電子工作が、出来な
くなってしまい
ホームページに来てくれる皆様に、大変ご迷惑をお掛けしております。
事実上昨年いっぱいで閉館しておりますので、リンクを無効にしていただきたく、お
願いを申し上げます。
いろいろとバタバタしておりまして、連絡が遅れてしまいましたことを、お詫び致し
ます。
また、今後再開致しましたときには,宜しく御願致します。
このメールは会社のパソコンからのものです。
返信して頂いても差し支えありません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^5: トランスのロスについて コメント数:  0件
  タンタン 2004/01/16 (金) 11:48
Nontaさん、間違いの指摘ありがとうございます。
> 意図を明確にしない質問は,わけわかなレスを誘発します.

> 負荷電力はどちらも20Wで,内部ロスはほとんど同じでしょう.

i^2 が4倍になっても、線径を2倍、長さを1/2にすればロスは同じでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re^5: トランスのロスについて コメント数:  9件
  yamame 2004/01/16 (金) 11:38
yamameです。
いろいろありがとうございます。本も探してみようと思います。

あまり深く考えず書き込んでしまいました。

考えていたのはTVとかで変換効率90%の電源トランスで、
二次側が100V 1Aで消費電力が100Wだとしたときに、
二次側を50V  2Aにしても変換効率90%というのは一定でしょうか?
銅損が同じ時、鉄損は変わるのでしょうか?

と考えていたのですが、書き込み方も勉強していきます。 
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 Re^4: トランスのロスについて コメント数:  11件
  Nonta 2004/01/16 (金) 10:54
意図を明確にしない質問は,わけわかなレスを誘発します.

> 銅損について言えば、ロス=i^2*Rですから、同じロスにするには、
> iが2倍になれば、Rは1/4にしなければなりません。
> 巻き数は1/2になるけど、それでも電線の使用量は2倍になります。
例えば,100V:10V・1A+10V・1Aの2次2巻線のトランスを
考えてみると,2次側を直列にすれば20V・1Aで負荷は20Ω,
2次側を並列にすれば10V・2Aで負荷は5Ωとなります.
負荷電力はどちらも20Wで,内部ロスはほとんど同じでしょう.
等価巻数は1/2になっても,電線使用量が2倍になることはありません.

紹介した本はディープすぎるので,タンタンさんにはこちらが井伊でしょう.
http://www.amazon.co.jp/exec/obidos/ASIN/0070212910
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^3: トランスのロスについて コメント数:  12件
  タンタン 2004/01/16 (金) 09:28
タンタンです。
トランスに詳しいと言うわけじゃないです。
ちゃんと計算するなら、Nontaさんの薦めた本が良いでしょう。

> つまり、負荷インピーダンスを変更して二次側の消費電力を一定にしたとき、
負荷インピーダンスが変更できるなら、そもそもトランスは要らないでしょう。

なぜ、トランスのロスを問題にしているのでしょうか、
それが分かれば、もう少しいいレスがつくと思うけど。

> エネルギー伝達ロス が異なるかどうか
ロスは、n×iだけで決まるわけじゃありませんので、
n×iを変えてどうなると言われても正しい答えは出ないでしょう。

銅損について言えば、ロス=i^2*Rですから、同じロスにするには、
iが2倍になれば、Rは1/4にしなければなりません。
巻き数は1/2になるけど、それでも電線の使用量は2倍になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re^4: 1ビットDAC(ITU-T勧告の入手) コメント数:  3件
  せばす 2004/01/16 (金) 09:27
はじめまして。

> というわけで、ITU-TのG.726を探しているのですが、
> やはりお金を出して規格書を購入するのが一番なのでしょう。

オフトピックスですが、ほとんどのITU-Tの勧告は、メールアドレス,住所などを登録することにより3回までダウンロード可能です。

たしか、フリーメールアドレス,偽装住所でも可能だったはず。
フリーメールアドレスを多用すれば、無制限にダウンロード可能となります。

ttp://www.itu.int/rec/recommendation.asp?type=products&;lang=e&parent=T-REC-G
ttp://www.itu.int/rec/recommendation.asp?type=folders&;lang=e&parent=T-REC-G.726
ttp://ecs.itu.ch/cgi-bin/dms-ebookshop?choice=&customerid=
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^3: トランスのロスについて コメント数:  0件
  Nonta 2004/01/15 (木) 23:47
yamameさん

> 二次側で考えたときに、
> n×iの時と2n×i/2
> の両者の間でエネルギー伝達ロス(トランス内部だけの)が
> 異なるかどうかを教えていただけませんか、nが増すと、
> リケージインダクタンスが増えてしまうと思うのですが、
> なんとなく電流が増えた方がロスが大きくなってしまう
> ような気がするのですが(理論が無くてすみません。)
銅線の電流密度(A/mm^2),巻数,1巻当たりの銅線長を
仮定して,オームの法則で計算すれば求まるでしょう.

しっかり計算したければ,この本読めば井伊でしょう.
http://www.amazon.co.jp/exec/obidos/ASIN/4274032566
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re^2: トランスのロスについて コメント数:  15件
  yamame 2004/01/15 (木) 23:07
Nontaさん返答ありがとうございます。yamameです。

> > 1次側の入力電力が一定の時
> 入力電力とゆーのは結果であって,一定にするためには2次側の負荷電力を
> 一定にする必要があります.

説明不足で住みません。
当然二次側の負荷電力は一定という条件です。
つまり、負荷インピーダンスを変更して二次側の消費電力を一定にしたとき、
例えば、電流を増やして電圧を減らす(二次側巻き数を減らす)
としたとき、n×iは理想トランスだと一定になると思うのですが、

二次側で考えたときに、
 n×iの時と2n×i/2
の両者の間でエネルギー伝達ロス(トランス内部だけの)が異なるかどうかを教えていただけませんか、nが増すと、リケージインダクタンスが増えてしまうと思うのですが、なんとなく電流が増えた方がロスが大きくなってしまうような気がするのですが(理論が無くてすみません。)
 巻き数を増やしたとき、巻き線抵抗を一定にするように線形を太くするとしたら、
ロスはどのくらいなのでしょうか?

長くなってすみません。おしえてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re: トランスのロスについて コメント数:  16件
  Nonta 2004/01/15 (木) 21:27
今晩は.

トランスの基本をわかっていないようですが?(^^;;;

> 1次側から2次側へインピーダンスマッチングで
もっとも目に付くトランスは,電柱の上にある柱上トランスですが,
インピーダンスマッチングするとゆーことは,原発+変電所+送配電線の
インピーダンスによる損失が,負荷(電気製品)インピーダンスによる
損失と等しくなるとゆーことですよ.
つまり,効率が50%になるとゆーことです.
そんなベラボーなことは,地球温暖化防止の観点からも許されません.

> 1次側の入力電力が一定の時
入力電力とゆーのは結果であって,一定にするためには2次側の負荷電力を
一定にする必要があります.

トランスの損失には,参考書に書いてあるように,銅損と鉄損が有り,
銅損は銅線(コイル)の損失で,電流を減らせば減少します.
同じ負荷電力だったら,電圧を倍,電流を半分にすれば銅損は,同じ太さの
銅線を使用すれば減少するが,巻線部分の体積が倍になるから,
同じ体積なら,柱上トランスは平角線だから,多分変わらないでしょう.
銅線の単位長当たりの抵抗からオームの法則で計算したら堂でしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re: STK500使用方法教えてください コメント数:  0件
  vachouse 2004/01/15 (木) 20:25
最近までSTK500を使っていたのですが、片付けてしまいました。
ケーブルの接続は、"User's Guide"のFigure 2-1の写真の通りにつなげばOKです。
6芯のコードも使います。ジャンパも写真の通りになっているか、確認してください。

ご存じとは思いますが、開発環境は、WinAVRという、フリーで良いものが有りますね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 トランスのロスについて コメント数:  17件
  yamame 2004/01/15 (木) 17:44
こんにちはyamameです。

みなさんに教えていただきたいことがあります。
トランスのエネルギーロスについてですが、
1次側から2次側へインピーダンスマッチングで
電力伝達したとするときに、トランス内部でエネルギーロスが有ると思うのですが、
そのロス分は1次側の入力電力が一定の時、2次側の電流量を変えても一定なのでしょうか? つまり、出力電流に係わらず2次側のi×vが一定ならロスも一定なのですか?

 同様にiとnは反比例すると参考書にあるのですが、ロスがある場合
入力電力が一定の時二次側のi×nも一定なのでしょうか?

尋ね方が下手で申し訳有りませんが、みなさん教えてください。
お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 STK500使用方法教えてください コメント数:  1件
  サブロウ 2004/01/15 (木) 15:17
AVRSTUDIO4を使用して、STK500で評価したいのですが,
評価の方法がわかりません。
評価する時は,ターゲットAVRにPROGRAMを書きこまないとできないのですか?

今プログラム用RS-232Cにパソコンをつないで,AVR STUDIOを起動しています、stk500を接続して, stk500でBORDの設定をしています。
ターゲットAVRにAT90S8515を挿入しています
この後は,どうすればよいですか?
ISPプログラミング用6芯ケーブルは接続する必要がありますか

ターゲットAVRにPROGRAMを書きこまないとできない場合
書き込んだ後は,ISPプログラミング用6芯ケーブルは接続は
必要ありますか
以上教えてください。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^2: MitouJTAGで組込みCPUへの適用は現状可能ですか? コメント数:  0件
  bogy 2004/01/15 (木) 07:38
ご回答ありがとうございます。

> バウンダリスキャンは可能ですが、
> いまのバージョンでは実務レベルでお使いいただくことはお勧めできません。

了解しました。

> ARM7とMIPS系のCPUは、CPUのICE機能にアクセスできるようになります。
> 2月15日までには確実に完成しているはずですので、
> もうしばらくお待ちください。

非常に期待しています。
MIPS系については、過去VR系をlinuxでいじっていたのですが、
そういう事なら、戻ろうかなぁ。
MIPS-linuxの更新が少なくなり、(最近はCE-linuxか?)
離れていました。
PowerPC系に目を向けたのは、それなりにディストリビューター(vineとか)いるからです。

> JTAGやりやすそうなCPUを積んだ安い機器は最近見かけなくなりました。
> 見かけるのは、得体の知らないCPUを積んだものばかりです。

おっしゃるとおり。店頭での安定した入手は難しいですね。

> BLR3-TX4、販売中止ですけど欲しいですね。

オークションには、しょっちゅう出ています。
底値で3500円前後...という感じです。

操作をGUIを通じ、理解しやすく汎用的に使用できるMitouJTAGに期待してます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re: MitouJTAGで組込みCPUへの適用は現状可能ですか? コメント数:  1件
  なひたふ 2004/01/15 (木) 02:10
こんばんは

> 組込みCPUであるMPC8241を対象に、

バウンダリスキャンは可能ですが、
いまのバージョンでは実務レベルでお使いいただくことはお勧めできません。

ちょっと事情があるため最近はページを更新していませんが、
ひそかにMitouJTAGを全力で開発しています。

ARM7とMIPS系のCPUは、CPUのICE機能にアクセスできるようになります。
2月15日までには確実に完成しているはずですので、
もうしばらくお待ちください。

> BLR3-TX4をイジリタイ...

余談ですが私もMelcoのブロードバンドルータが欲しくて、
アスクルでBLR3-4HGを注文したのですが、
よくよく調べたら、そんな型番のルータはないんですよね。
届いたのはBBR-4HGとかMGといかいう別物でした。
中に入っているのはADMTekとかいう台湾のメーカーのMIPS系CPUで、
データシートがない。
ADMTekにデータくれと言っても応答なし。結局お蔵入りです。

JTAGやりやすそうなCPUを積んだ安い機器は最近見かけなくなりました。
見かけるのは、得体の知らないCPUを積んだものばかりです。
BLR3-TX4、販売中止ですけど欲しいですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 MitouJTAGで組込みCPUへの適用は現状可能ですか? コメント数:  2件
  bogy 2004/01/15 (木) 01:38
組込みCPUであるMPC8241を対象に、
http://e-www.motorola.com/files/32bit/hardware_tools/models/bsdl/MPC8241R1BBSDL.txt
の適用は可能でしょうか?
壊れるのがわかっている(リスクがある、というレベルでなく既知の問題として存在している)のであるかどうかを確認したい、というレベルです。
BLR3-TX4をイジリタイ...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re: コンパレータの出力インピーダンスを下げる コメント数:  0件
  Nonta 2004/01/14 (水) 13:36
ひであきさん,こんにちは.

そーゆーときは,出力にエミッタフォロワを付ければ井伊でしょう.
詳しいことは,この本の35ページに書いてあります.
http://www.cqpub.co.jp/hanbai/books/36/36011.htm

回路は簡単で,プルアップ抵抗(R),NPNトランジスタ(Q),
ダイオード(D),ゲート直列抵抗(RG)を次のようにします.
−−+−−+−−−−Vcc
  R  C
  +B(Q)
  |  E
IC+<D+(RG)
(コピーして等幅フォントで見てね)

エミッタとコンパレータ出力(ベース)にダイオードを入れて,
ONさせるときは,Rに流れる電流をhFE倍させ,OFFさせる
ときは,コンパレータ出力をそのまま使用します.

上掲書にもあるように,この回路はそれほど高速化しませんから,
さらに高速が必要なら,専用MOSFETドライバICが必要です.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 コンパレータの出力インピーダンスを下げる コメント数:  1件
  ひであき 2004/01/14 (水) 11:59
こんにちわ

オープンコレクタのコンパレータの出力をFETのゲートに接続して
FETをドライブしたいと考えています。
しかし、プルアップ抵抗を入れてしまうと、ゲート抵抗として
作用してしまう為、ゲート信号がなまってしまいます。
このようなことにならず、出力インピーダンスを下げる方法は
ありませんでしょうか?
トランジスタをコンパレータの出力に接続すればできると聞いたのですが
具体的な方法がわかりません。(何かの本に書かれていたのですが
どの本か分からなくなりました。)
宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: SPARTAN2 のコンフィグ コメント数:  0件
  なひたふ 2004/01/13 (火) 17:31
> SPARTAN2のコンフィグを自分も早くしたいですが、
コンフィグROMが最も簡単で確実です。

> EZ-USB FX2なるIC基板はどこの製品やキットを買ったらよいのですか?
FX2に限らず、EZ-USB系の石はデバイスドライバが非常に使いにくく、
それを利用したアプリケーションの開発は全くイージーではありません。
ちょっと間違ったアクセスをすると、すぐに固まります。
その原因は理解してしまえば簡単なことが多いのですが、
原因を究明するまでには時間がかかります。

よって、FX2はおすすめではありません。

今回なぜ私がFX2を使ったかというと、OEMですが、別件で
USB2.0とFPGAを使った装置の開発を行っていたためです。
FPGAのコンフィグだけを行う場合、
データ量はせいぜい数Mbitなので、USB2.0の速度は必要ないでしょう。
RS-232CとPICで十分です。

> AVRやPICを使って、JTAGコードで書き込みができないのでしょうか?
FX2にやらせていたコンフィグ方法はJTAGではありません。
単純にデータを垂れ流すだけの方法です。
同じことをPICで行うことは簡単にできます。

その方法も近いうちに書きます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 SPARTAN2 のコンフィグ コメント数:  1件
  GO 2004/01/13 (火) 16:35
  GOです

SPARTAN2のコンフィグを自分も早くしたいですが、EZ-USB FX2なるIC基板は
どこの製品やキットを買ったらよいのですか? AVRやPICを使って、JTAG
コードで書き込みができないのでしょうか? なぜ、EZ-USB FX2を使うのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^2: ネックスの1608チップ抵抗セット コメント数:  0件
  森 秀樹  | mori@cypac.co.jp 2004/01/13 (火) 00:29
 http://d.hatena.ne.jp/hmori/
すみません、30個でした。それで、30個の長さを測ってみたのですが、120mmでした。つまり40mm で10個です。5000個は(5000/10)*4cm/100cm=20mか。
これを2等分とか、4等分できればいいんだけど。簡単かなあ。
どっかで、ビローンと広げて、端と端を手繰って、一緒に進ませて真中で切って値を書き入れる。これを3回やると、1/4になる。すると、40個買って4人で割れば一人あたり12,500円。どこでこんなバカな事をやるんだ。
あと、千石電子に在庫でありましたよ。1250円の5000個。全部そろっているかどうか怪しいですけど。
digkey だと、Yageo Americaの1608が50個$2.07 (311-10.0KHCT-ND)
私はdigkeyでもいいような気がしてきたんですけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re: ネックスの1608チップ抵抗セット コメント数:  0件
  通り掛かり 2004/01/12 (月) 20:07
森さん うれしい情報ありがとうございます。

サイトよく見ましたら、各30個入りとのコトです。
93種で送料\800含めて約\5000になるわけですね。
かなり良心的値段です。

足りなくなるよな多頻度使用のやつは100個\280と組み合わせて
注文すれば結構実用になるのではないでしょうか。


明光電子
http://www.meicodenshi.com/original/
一方ここは126種100個づつできれいにファイル入りですが
ちょっと\40000はお高いです。会社に置いとくのとしては
いいかもしれません。


では遅ればせながら本年もよろしく >皆様
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re: ネックスの1608チップ抵抗セット コメント数:  1件
  軽石 2004/01/11 (日) 22:08
情報ありがとうございます。

> http://www.nex-nex.co.jp/ で 93種類 * 20個のチップ抵抗1608セットが売っています。
> 値段は3980円です。送料とかで、4979円の請求になりました。
>
> でも、20個じゃ全然足りない。5000個はいらないしなあ。

個人だったら500個くらいで結構持ちそうですね。

> でも、この20個無くなったら5000個買うてのもいいかも。
> 5000個。。気が遠くなってきたぞ

お互いにシェアしていくという手もありますね。
(よく使うのは10k、47k、1k、330かな?)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^7: 回路図エディタ コメント数:  0件
  軽石 2004/01/11 (日) 13:48
> 意外と個人に一番普及しているのは、ProtelやOrCADの体験版だったりして?
> マメに入れ直せば何度も使えるからねぇ。

ProtelはOS入れなおししないと駄目じゃなかったですか?
フォルダ丸ごと消して、それらしいレジストリを削除しても駄目だった記憶が・・・・。

OrCADについては試した事がないですが、こちらはアプリの再インストールで
なんとかなるのでしょうか?

1万円以下で入手できるCADとしてはWinDraftとかあります。

http://www.datadynamics.co.jp/ivex/ivex.html

小規模開発用(個人向け)としては安価ですね。

使い勝手については試した事がないのでわかりませんが、PCB-CADと
組み合わせて色々できそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^6: 回路図エディタ コメント数:  1件
  sh-kick 2004/01/11 (日) 04:12
意外と個人に一番普及しているのは、ProtelやOrCADの体験版だったりして?
マメに入れ直せば何度も使えるからねぇ。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^6: 1ビットDAC コメント数:  0件
  shirou 2004/01/11 (日) 00:58

> ちなみに、素子の大きさの問題だと思うのですが、
> XSP-006の圧電素子ではあまり良い音が鳴りませんでした。
> もちろん、PCのスピーカをつなぐと驚くほど良い音がします。
いちどやってみたいですね。
あの圧電素子は共振周波数を持っているので、もっとフラットな特性の
ものがいいでしょうね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re: 1ビットDAC コメント数:  0件
  通りすかし 2004/01/10 (土) 18:55
へぇ〜 :-)

ADPCMのフォーマット(MS-ADPCMとIMA-ADPCM)の解説なら、
ニフティのライブラリーにあります。どちらもWindows標準
CODECで、作成したwavファイルをそのまま使えるので
便利です。けっこう例外処理があって面倒でしたけど。

でも、どうせやるならMPEG-Audioのデコーダでも挑戦して
みたいものですね。誰かやってくれないかなぁ… :-)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^6: 回路図エディタ コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2004/01/10 (土) 18:19
> せっかく作成した回路図を残せれるように、
> また、他の人と回路図のデータをやり取りすることが出来たら

VHDLで書き直す、なんてのは反則でしょうか。
デジタル回路なら、そのままワンチップにしてしまうこともできるでしょうし、
他の人との合作なんてのも可能です。単なるテキストファイルですから、
管理は至って楽で、読むだけならMSXでも可! とファイル互換性は高いです。

アナログ回路も書けないことはない……はずです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^5: 1ビットDAC コメント数:  1件
  なひたふ 2004/01/10 (土) 17:45
shirouさん、こんにちは

> 圧電サウンダの注意点として、振動やショックで高電圧が発生しデバイスを
> 壊すかもしれないと、ムラタかtdkのページに書いてあったと思います。
> 参考まで。

ご助言ありがとうございます。
おっしゃるとおりですね。

HuMANDATAさんのXSP-006にもすんなりと対応できました。
7セグLEDを活用してカウントできるようにしたので、
本物の「へぇ〜ボタン」に一歩近づきました。

http://www.nahitech.com/nahitafu/np1003hp/hasic/hasic.html

ちなみに、素子の大きさの問題だと思うのですが、
XSP-006の圧電素子ではあまり良い音が鳴りませんでした。
もちろん、PCのスピーカをつなぐと驚くほど良い音がします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 ネックスの1608チップ抵抗セット コメント数:  3件
  森 秀樹  | mori@cypac.co.jp 2004/01/10 (土) 07:25
http://www.nex-nex.co.jp/ で 93種類 * 20個のチップ抵抗1608セットが売っています。
値段は3980円です。送料とかで、4979円の請求になりました。

でも、20個じゃ全然足りない。5000個はいらないしなあ。
でも、この20個無くなったら5000個買うてのもいいかも。
5000個。。気が遠くなってきたぞ
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re^6: 回路図エディタ コメント数:  0件
  軽石 2004/01/10 (土) 01:24
> 以上を踏まえて考えますと、みなさんが教えてくださった物はほとんどが良さそうですね。フリーの物から順に使ってみようかと思います。ただ、一番普及している物となると、どれになるのでしょうか?

私が知る限り飛びぬけて使われているという物はないと思います。
個人で数十ページに渡る回路図の公開は殆ど見た事がない(まったく)ので
同じCADでなかった場合でも最悪写経すればよいと思われます。
数ページだったら1日程度で自分のCADに入力できますよね。

> あと、これらのソフト間で、データのやり取りというのは可能なのでしょうか?

CAD間のデータのやり取りは基本的にはできないと思ってください。

WEBでは回路図があれば大体満足できるのでPNGやPDF(これは有料ですね)で配布して文句を言う人はいないと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: 回路図エディタ コメント数:  0件
  vachouse 2004/01/09 (金) 22:43
私も、回路図エディタについては、尋ねてみたかったですね。

> フリーでよいものというと、gEDAのgschemが思いつきます。
> 最初は、ものすごく使いにくいですけど、慣れるとかなり早く描けるようになります。

たまにしか使わないので、有料のはきついし、使いこなすまで時間がかかるのも、つらいです。
簡単なアナログ回路をwebに出す時に、色々検索してみた結果、bschとxcircuitを試してみたのですが、
カスタム部品(トランスとリレー)を描くのに、GUIで出来なかったので、結局、使い慣れたドローソフトで描きました。
定番の部品は、xcircuitからエクスポートしました。
線画のインポート/エクスポートの形式も使っているシステムによって違うので、苦労します。
私の場合は、ps/eps形式のシステムを長く使って来たので、それが吐き出すeps形式を、xcircuitにインポートできなかったのが、痛かったです。
初心者としては、カスタム部品を簡単に描けるGUIが備わっていると、使いやすいと思います。

これからは、少しは複雑なディジタル回路も描きたいので、どれか一つくらいは、マスターしたいですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re^5: 回路図エディタ コメント数:  4件
  小西  | ryuji_guitar@yahoo.co.jp 2004/01/09 (金) 21:04
みなさん色々なご意見をありがとうございました。
あしながおぢさんに言われて気がつきましたが、前回の書き込みで回路図エディタを利用する目的について書いていませんでした。
目的といっても大した事ではなく、せっかく作成した回路図を残せれるように、また、作成した回路図を自分のホームページで公開したり出来たらいいな、また、他の人と回路図のデータをやり取りすることが出来たらもっといいでしょう。電子回路初心者ですので、作成する回路の難易度は低いです。が、今後のことも考えるとレベルの高い回路の設計も出来るような物が良いですね。一番のポイントは”一番普及していること”でしょうか。フリーか有料かはあまり関係ないですけど、有料でもせめて1、2万円くらいまでが良いですね。

以上を踏まえて考えますと、みなさんが教えてくださった物はほとんどが良さそうですね。フリーの物から順に使ってみようかと思います。ただ、一番普及している物となると、どれになるのでしょうか?
あと、これらのソフト間で、データのやり取りというのは可能なのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re^4: 1ビットDAC コメント数:  2件
  shirou 2004/01/09 (金) 19:50
> > 出力の接続次第ではICが壊れる可能性があるので注意は必要ですね。
> 圧電サウンダにダンピング抵抗くらいはつないだほうが
> 安心できるかもしれません。
圧電サウンダの注意点として、振動やショックで高電圧が発生しデバイスを
壊すかもしれないと、ムラタかtdkのページに書いてあったと思います。
参考まで。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re^8: USBメモリとのインターフェイス コメント数:  0件
  個人研究者 2004/01/09 (金) 16:29
返事が遅くなりました。すみません。

>  USBメモリは余計な物が乗っている分割高になるのと、OSの問題と、
> コネクタがでかいので、デジカメや携帯電話には浸透しないと思います。
>  といっても、メモリースティックはダメだと思いますが...

確かにコネクタはでかいですね。。。
でも、アダプタを買わなくても直ぐにPCに挿せるということの心理的な効果は大きいような気がします。あとは「ファイルとして見える」というのが実現できればお手軽感バツグンだと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re^3: 1ビットDAC コメント数:  7件
  なひたふ 2004/01/09 (金) 15:23
こんにちは

> ここあたりはチェック済みでしょうか?
> http://www.memb.jp/~dearna/ma/ym2608/adpcm.html
はい。チェック済みです。
おそらく、アルゴリズムを最も分かりやすく
書いてくれているページだと思います。
しかし、使っているアルゴリズムがYAMAHAのチップの
アルゴリズムであるため、ライセンスの問題が潜んでいる
可能性があるため、今回は使用しませんでした。

というわけで、ITU-TのG.726を探しているのですが、
やはりお金を出して規格書を購入するのが一番なのでしょう。
それでFPGAに入るADPCM用IPコアでも作って公開すれば、
みんながHappyになれるかもしれません。

> 出力の接続次第ではICが壊れる可能性があるので注意は必要ですね。
圧電サウンダにダンピング抵抗くらいはつないだほうが
安心できるかもしれません。

数10kHzで10ビットくらいの分解能を持つD/AやA/Dが、
特別な部品を購入しなくても、Delta-SigmaやSigma-Deltaを使って
実現できるようなので、いろいろ遊べそうです。

電圧可変の簡単なスイッチング電源ならば、
FPGAと汎用オペアンプとFETだけ作れるかもしれませんね。

Delta-Sigmaについても、Amazonで洋書を買って勉強することにしました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re: 回路図エディタ コメント数:  0件
  個人研究者 2004/01/09 (金) 13:30
こんにちは。

有料ですが、私はCQ出版社の「Micro−Cap・V CQ版」を使って回路図作製からシミュレーションまでやってます。

今は「V」は販売終了で、バージョンアップした「Micro-Cap7」になっていますが、値段は変わらず15,000円です。シミュレーションまでできてこの値段なら安いかもしれません。下記URLを参照してください。

http://www.cqpub.co.jp/eda/MICROCAP/MicroCap7/default.htm

CQ版の制限がいくつかあります。

まず、使える部品の型番が限られていています。
シミュレーションなので、私は適当な代替品を使ったり、Spiceファイルを自分で探してライブラリに追加したりしています。部品名の表示などは適当に変えられるので、回路図作成上は問題ありません。

次に、使える部品の数とノード数が限られています。
部品数では50個までですが、私の経験では、OPアンプ2個+トランジスタ10石のBTL・PPアンプを設計してシミュレーションしたときに部品数オーバーのエラーが出て、コンデンサを2個減らしたらOKでした。どうせ電源のパスコンなので...^^;

これも、回路図を描く分には問題なく、シミュレーション開始時にエラーが出るだけです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^2: 1ビットDAC コメント数:  8件
  軽石 2004/01/09 (金) 11:28
なんかすごいですね・・・・。

> FPGAワンチップ へぇ〜ボタンできました。
>
> http://www.nahitech.com/nahitafu/np1003hp/hasic/hasic.html
>
> ちゃんと、スタンドアローンでへぇへぇ言ってくれます。
> > XC2S30に入れたいので、ROMは24576ビットしかありません。
> > 圧縮方法としてADPCMを検討していますが、
> ADPCMのアルゴリズムがなかなか見つけられなかったので、
> 結局XC2S100にしてしまいました。

ここあたりはチェック済みでしょうか?
http://www.memb.jp/~dearna/ma/ym2608/adpcm.html

> それにしても、FPGAに直結でD/A変換やA/D変換ができるというのは
> 面白いですね。これ以外にも、いろいろな応用ができそうです。

FPGAは今によみがえる電子ブロック(復活はしていますが)のような物だと
思っています。

中央にあるFPGAにD/AやA/D、LEDを接続してプログラミングして
手軽に遊べるようになるとうれしいですね。

出力の接続次第ではICが壊れる可能性があるので注意は必要ですね。

今ばたついていいるのでFPGAボードは購入できませんが、落ち着いたら
ぜひ1枚購入したいと思っております。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re: 回路図エディタ コメント数:  0件
  山さん  | support@yansoft.com 2004/01/09 (金) 09:54
 http://www.yansoft.com/
電気回路図で検索されたのでしたら、BschとCEとD2CADが引っかかったと思います。
D2CADはシェアウェアですが、機能制限はほとんど無いので簡単な回路図を
試用する分には十分だと思います。部品点数が少ないのが難点ですが、回路図を
描きながらそれにあった部品を図面上で作成できるのでお絵かきソフトの間隔で
回路図を作成できます。

最新版ではPDFフィル出力機能も備えているためそのままファイルでのやり取りも
可能になっています。

以上手前味噌ですが、一度のぞいてみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re: 回路図エディタ コメント数:  0件
  タンタン 2004/01/09 (金) 07:38
こんにちは、
後閑 さんのページにも、ツールの紹介があります。
http://www.picfun.com/

私は、Bschを使っていますが、よく出来ていると思います。

CircuitMaker も使っています。
これは、回路シミュレータですが、回路図エディターも含まれて居ます。
フリーのものは制限が多いですが、それなりに使えます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: 1ビットDAC コメント数:  9件
  なひたふ 2004/01/09 (金) 04:08
FPGAワンチップ へぇ〜ボタンできました。

http://www.nahitech.com/nahitafu/np1003hp/hasic/hasic.html

ちゃんと、スタンドアローンでへぇへぇ言ってくれます。
> XC2S30に入れたいので、ROMは24576ビットしかありません。
> 圧縮方法としてADPCMを検討していますが、
ADPCMのアルゴリズムがなかなか見つけられなかったので、
結局XC2S100にしてしまいました。

それにしても、FPGAに直結でD/A変換やA/D変換ができるというのは
面白いですね。これ以外にも、いろいろな応用ができそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^4: 回路図エディタ コメント数:  5件
  あしながおぢさん 2004/01/09 (金) 03:05
こんにちは 小西さん。

Yoshi改めあしながおぢさんと申します。

回路図エディタを使う目的は何ですか?もしきれいな図面を引きたい
というだけならあちこちにあるフリーのエディタでよいと思います。

もし目的が回路図エディタを使って図面を描き、ボードレイアウトを
CADで行うという所まで考えているならば私はEagleのトライアル
バージョンをお勧めします。レイアウト迄含めてフリーなのは嬉しいです。
使い方も去年のトラ技で4回連載で解説があります。

Eagleはフリーなので色々と制約がありますが、一度シンボル作り、
パッケージ作りを覚えて回路図入力し、ネットリストから自動配線を行い、
それを手修正するといったフローを身につけると、ほかのCAD
(CadenceやMentor等)も殆ど同じ考え方で使えるようになります。
制約がいやになったらライセンスを買えば機能が広がります。ライセンスも
$50、$200、$500の三種類で、それほど高くありません。

どうせなら回路図をきれいに書くだけでなく、レイアウトも出来るように、
そして、OLIMEX等でそれを基板に作ってもらうという所まで広げれば
もっと電子工作が楽しくなりますよ。

一度 WWW.CADSOFT.DEで見てみたらどうでしょう?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re^3: RGB・YUV信号源 3 コメント数:  0件
  ○だ 2004/01/09 (金) 01:08
> では、私が気になったところを書きます。
>
> >  のち、選択された1枚の画を高速RAMに転送して表示。ドットCLK59.94MHz
> >  垂直700〜800水平400〜500ドットで間引きながら表示しようと考えています。
>
> なぜ、59.94MHzなのでしょうか?
> この周波数ではYUVをNTSCにエンコードするときに苦労すると思います。
 YUVをそのまま出力します。ただし、周波数は悩みに悩んでいます。
 映像フォーマットによって微妙に違う為です。

> 出力は実際には三角波ですが、LPFフィルタをかければ正弦波に見えます。
 NTSCエンコードには、こういった技があるのですね。

> このため、色を出したいときには14.31818MHzがお勧めなのです。
 去年にこれを見ていれば。。NTSCの信号源を2人で作ったのですが、
SYNCもバーストも映像も全てFLASHに入れていました。
 最後に、今回の投稿で色々な意見が聞けて有意義でした。
 Mitoujtagの記事も、毎回読んでいますので。
 それでは。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re^3: 回路図エディタ コメント数:  6件
  軽石 2004/01/09 (金) 00:44
こんにちは小西さん

> これも最初に検索していたときに見つけていたんですが、いかんせん自分がLinuxに関する知識が無さ過ぎるため、できればWindowsのものが良いのです。
> 一応Linuxをインストールしてあるパソコンはあるのですが、以前ちょっと使ってみて、”げぇ、ぜんぜんわからん・・・”と、ほかりっぱなしの状態です。
>
> ですので、せっかく教えてもらって恐縮ですが、Windowsのソフトで何か他にご存知ないでしょうか?

それではまずは小西さんも情報を出しましょう!
検索した結果見つかったフリーの回路図CADのリストを出して使ってみたのであれば
その感想などをアップしてはいかがでしょうか?

最近は使っていませんが、フリーの回路図としてはCEを使っていました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re^2: RGB・YUV信号源 3 コメント数:  0件
  ○だ 2004/01/09 (金) 00:41
> スレッドをちゃんと理解してください。
> 返答の為に新たなスレッドを起こすのは控えましょう。
 すいません。1個は消去しました。

> どんな耳寄り情報を待っているのでしょうか?
 言葉が足りませんでした。D1〜4端子に出力する信号源が欲しかった。
 10万円以下では売っていないので、作ってみようかと。
 誰か同じ事を考えているかなと思っていました。

> ここの掲示板はなひたふさんの掲示板です。○ださんの掲示板ではありません。
> 特定の話題で長くなりそうな場合にはご自身でWebを開き、掲示板を作られる事をお勧めします。
 物が出来たら、考えます。

> D端子の信号仕様や、コネクタ配置の紹介やブロック図などは聞かなくもご自身ですでに情報をもっていると思いますがいかがでしょうか?
 今、持っている情報は、下記Web位です。
http://www.leader.co.jp/technic/index.html

> 積極的に○ださんも情報を出しましょう。
 はい。 でも、また質問させて下さいね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re^2: カニさんLANチップへのアクセス方法 コメント数:  0件
  もんこちゃん 2004/01/09 (金) 00:19
こんばんは。軽石さんレスありがとうございます。

> 挨拶って気持ち良いですよね・・・・。
すみません。字数制限が気にかかって、つい、、、

> 上の8ビットはどうするかですか?
> それは一度読んで読んだ値をそのまま書けばのーぷろぶれむです。
メモリとかだったらそれでもよいのですが、今回はLANチップのレジスタが
相手ですので、ちょっと問題が・・・。具体的に言うと、
 同じアドレスでもReadとWriteで意味が違う
 読んだデータをレジスタに書き戻すと、そのWrite動作で何か動いてしまう
 Writeオンリーのレジスタがある
 Readすることでフラグが意図せず消えてしまう
などの副作用が考えられるものですから。
それで、なんとか8bit単位でアクセスできないものかと考えたんです。

でも、日が変わると別のアイデアが浮かんで解決しました。
アドレスバスを1bitずらしてつなぐのが正解のようです。
つまり、8bit単位のレジスタ群をMPUから見て1バイトおきに見えるように
しておくことで、16ビットバス接続のときでも個々のレジスタに1つずつ
アクセスできるようにするそうです。
つまらないことで、お騒がせしました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re^2: 回路図エディタ コメント数:  7件
  小西  | ryuji_guitar@yahoo.co.jp 2004/01/08 (木) 22:49
さっそくの返事ありがとうございました。

> フリーでよいものというと、gEDAのgschemが思いつきます。
> 最初は、ものすごく使いにくいですけど、慣れるとかなり早く描けるようになります。

これも最初に検索していたときに見つけていたんですが、いかんせん自分がLinuxに関する知識が無さ過ぎるため、できればWindowsのものが良いのです。
一応Linuxをインストールしてあるパソコンはあるのですが、以前ちょっと使ってみて、”げぇ、ぜんぜんわからん・・・”と、ほかりっぱなしの状態です。

ですので、せっかく教えてもらって恐縮ですが、Windowsのソフトで何か他にご存知ないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re: 回路図エディタ コメント数:  9件
  なひたふ 2004/01/08 (木) 21:45
こんばんは

> フリーソフトで、水魚堂の回路図エディタBSchというのが結構使われているようですが。
>
> 以上、何かお勧めの回路図エディタをご存知でしたらぜひ教えてください。

フリーでよいものというと、gEDAのgschemが思いつきます。
最初は、ものすごく使いにくいですけど、慣れるとかなり早く描けるようになります。

日本語の解説は、Penguin needs more solderさんのところにあります。
http://members.jcom.home.ne.jp/pnms/

この回路図エディタを使いこなすには、ショートカットを覚え、
できるかぎりいろいろなものをコピー&ペーストで書けるように
工夫することだと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 回路図エディタ コメント数:  12件
  小西  | ryuji_guitar@yahoo.co.jp 2004/01/08 (木) 21:31
みなさんこんにちは。以前からROMだけしていたのですが、質問があるので今回初めて書き込みしました。

皆さんは回路図エディタには何を使っていますか?
自分も回路図エディタをそろそろ使ってみようと思っているのですが、もしデファクト・スタンダートになっているようなものがあるのなら、なるべくそれを使いたいのです。
フリーソフトで、水魚堂の回路図エディタBSchというのが結構使われているようですが。

以上、何かお勧めの回路図エディタをご存知でしたらぜひ教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re: カニさんLANチップへのアクセス方法 コメント数:  1件
  軽石 2004/01/08 (木) 09:09
こんにちはもんこちゃんさん
挨拶って気持ち良いですよね・・・・。

> RTL8019は16bitモードと8bitモードのアクセス方法が指定できますが、
> 16bitモードを選択したときに8bit単位でアクセスすることは
> できないのでしょうか?
>
> Read時は不要な側のバイトは読み捨てるとしても、
> Write時は16bit単位でしか書き込みできないようなので8bitレジスタへの
> 書き込みに困ってしまいます。
> Hi側/Low側バイトの有効無効を選択するピンはないのでしょうか。
> だとしたら、ISAバス用のドライバなどは16bitモード時にどのような
> アクセスをしているのでしょう。

レスする人の負担を軽くするようにちょっとだけ気を使うと良いと思います。
(データシートのurlを書くくらいは簡単ですよね)

16ビットモードと8ビットモードの設定をどうやって切り替えるか判りませんが、
ソフトウェアで簡単に回避する方法はあります。

で、どうするかというと16ビットモードでどんどん書いていけばよいだけです。
上の8ビットはどうするかですか?
それは一度読んで読んだ値をそのまま書けばのーぷろぶれむです。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^2: チップ抵抗が安い! コメント数:  0件
  軽石 2004/01/08 (木) 09:02
こんにちはO−KENさん(松の内も明けてしまったので普通の挨拶で失礼いたします)

> お久しぶりです。明けましておめでとうございます。
>
> ルートがあればこれなんかどうでしょう?
> かなりお買い得だとは思うのですが。
>
> チップキャパシタサンプルキット
> ttp://www.chip1stop.com/SA001_M10.cfm

う〜んこれは良いです。

1万円は辛いけど5000円だったら何とかなりそうな気がします・・・・。
検討させていただきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 1ビットDAC コメント数:  11件
  なひたふ 2004/01/08 (木) 02:51
FPGAのI/Oに圧電サウンダを直結し、
1bitのDelta Sigma DACを組んで、44.1kHz,8bitのwavファイルを
649倍オーバーサンプリングで流してみたところ、
圧電サウンダにしてはなかなか良い音がしました。

LPFも使わなくても直結でOKなので、いろいろな応用ができそうです。
たとえば、FPGAを組み込んだ装置で、ボタン操作時の応答や警告音を
FPGAで直接鳴らすと面白いでしょう。

まずは第1回目の応用として、ワンチップで作るへぇ〜ボタンを
作ろうと思いますが、SpaIIのブロックRAM(ROM化して使用)に入れば、
電源ONでしゃべり出すことができそうです。

しかし、「へぇ〜」は約0.75秒ですが、かなり高い音まで含むので、
サンプリング周波数が11kHzや8kHzでは音が曇ってしまいます。
これゆえ少なからず圧縮しなければなりません。

XC2S30に入れたいので、ROMは24576ビットしかありません。
圧縮方法としてADPCMを検討していますが、
この限られた容量に「へぇ〜」は入るでしょうか。

乞う期待!!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 カニさんLANチップへのアクセス方法 コメント数:  2件
  もんこちゃん 2004/01/07 (水) 23:27
RTL8019へのアクセス方法について疑問があります。
ご存じの方、是非とも教えてください。
RTL8019は16bitモードと8bitモードのアクセス方法が指定できますが、
16bitモードを選択したときに8bit単位でアクセスすることは
できないのでしょうか?

Read時は不要な側のバイトは読み捨てるとしても、
Write時は16bit単位でしか書き込みできないようなので8bitレジスタへの
書き込みに困ってしまいます。
Hi側/Low側バイトの有効無効を選択するピンはないのでしょうか。
だとしたら、ISAバス用のドライバなどは16bitモード時にどのような
アクセスをしているのでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re: チップ抵抗が安い! コメント数:  1件
  O−KEN 2004/01/07 (水) 19:22
お久しぶりです。明けましておめでとうございます。

ルートがあればこれなんかどうでしょう?
かなりお買い得だとは思うのですが。

チップキャパシタサンプルキット
ttp://www.chip1stop.com/SA001_M10.cfm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 チップ抵抗が安い! コメント数:  2件
  軽石 2004/01/07 (水) 17:55
ちょっと調べ物があったのでネットサーフィンをしていて、久しぶりに戦国いや千石電商のページをうろうろ・・・・・。

なんと1608のチップ抵抗がリール単位で売られています。(@o@)
しかも1巻1280円!(^o^)「なんとなくうれしい!」

これはチップ抵抗はここで買えという紙いや神の啓示か・・・・。
39種類と品種も豊富(ほとんど使わんのもあるのでおいらだったら20種くらいで
十分かな?

で計算すると25600円で一生分(こんなにはいらん)のチップ抵抗を入手できそうです。

部品屋を通せばもっと安く入手できるのかわかりませんが、個人で欲しい人にはうれしい価格だと思います。また仕事方は急ぎで1608のチップ抵抗が欲しくなったら千石へ問い合わせて見る価値はありそうです。

後はチップコンデンサもいくつか品種をそろえて欲しいと思うのは私だけかな・・・?

すでにご存知の方もおられると思いますが、知らない方の為に投稿いたしました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^2: RGB・YUV信号源 3 コメント数:  1件
  なひたふ 2004/01/07 (水) 13:18
では、私が気になったところを書きます。

>  のち、選択された1枚の画を高速RAMに転送して表示。ドットCLK59.94MHz
>  垂直700〜800水平400〜500ドットで間引きながら表示しようと考えています。

なぜ、59.94MHzなのでしょうか?
この周波数ではYUVをNTSCにエンコードするときに苦労すると思います。

というのは、YUVの信号を3.579545MHzの周波数で変調するわけですが、
3.579545MHzの正弦波を出力するためには14.31818MHzのタイミングで
0,+1,0,-1,0を出せばよいわけです。
位相が0と90°と180°と270°の部分だけが必要になるからです。
出力は実際には三角波ですが、LPFフィルタをかければ正弦波に見えます。

さて、この正弦波とYやUVを掛け算するのですが、
1や-1をハードウェアで掛け算することはとても簡単です。

ところが、3.579545MHzの整数倍ではないクロックを使った場合は、
位相がつねにずれていくので、
三角関数の計算(おそらくテーブルを用いるか、2次関数で近似する)が
必要になるのと、乗数と被乗数が変数である掛け算が必要になります。
そのため、回路規模がものすごく大きくなります。

このため、色を出したいときには14.31818MHzがお勧めなのです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re: RGB・YUV信号源 3 コメント数:  3件
  軽石 2004/01/07 (水) 12:27
こんにちは○ださん

だれも突っ込まないのでちょっと手の空いた私が突っ込みを入れさせていただきます。

スレッドをちゃんと理解してください。
返答の為に新たなスレッドを起こすのは控えましょう。

>  仕事2割勉強8割で作っています。出来なくても良いけどせっかく実験の
> チャンス。まずは仕様を決めないと。耳寄り情報お待ちしています。

どんな耳寄り情報を待っているのでしょうか?


ここの掲示板はなひたふさんの掲示板です。○ださんの掲示板ではありません。
特定の話題で長くなりそうな場合にはご自身でWebを開き、掲示板を作られる事をお勧めします。

さらに言わせていただくと、情報を待つだけではすぐに誰も出さなくなります。
積極的に○ださんも情報を出しましょう。

D端子の信号仕様や、コネクタ配置の紹介やブロック図などは聞かなくもご自身ですでに情報をもっていると思いますがいかがでしょうか?

私としては開発の進捗をWebで公開される事をお勧めします。
○ださんの進捗が見えるとそれに対してレスポンスやアドバイスする形にすると
みんなも楽しいだろうし、○ださんもやりがいがあると思います!

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 RGB・YUV信号源 3 コメント数:  4件
  ○だ  | yo_wada@fd5.so-net.ne.jp 2004/01/06 (火) 01:31
 前回の続きです。
 信号源の仕様は、PCで書いた画像ファイル(Bmp等)を作成ボード単体で表示するという物で、D端子の525i/525p/750p/1125i/1125pとRGBの640*480を出そうと考えています。
 今、フラッシュROMにbmpファイルを上記の画6枚分を入れてポートで切り替え
 のち、選択された1枚の画を高速RAMに転送して表示。ドットCLK59.94MHz
 垂直700〜800水平400〜500ドットで間引きながら表示しようと考えています。
 色再現性や精度は必要無いのです。市販品があればそれでもいいです。
 仕事2割勉強8割で作っています。出来なくても良いけどせっかく実験の
チャンス。まずは仕様を決めないと。耳寄り情報お待ちしています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re^3: RGB・YUV信号源 コメント数:  0件
  なひたふ 2004/01/05 (月) 13:28
RGB->YUVコンバータは、RGBのそれぞれの値に定数をかける、
ただの行列の掛け算です。
動作速度や回路規模の要求から、乗算器を如何にして作るかで、
いろいろな実装があります。

例えば、素直に乗算器をコーディングする方法や、
乗算器をメモリ上のテーブルとして持つ方法、
定数の値を、乗算しやすい値に近似して回路規模を圧縮する方法、
加算器を複数クロックで動かして乗算器を構成する方法などが考えられます。

VirtexのブロックRAMをルックアップメモリとして、乗算器を構成
した例が、XILINXのアプリケーションノート xapp283です。
http://www.xilinx.co.jp/bvdocs/appnotes/xapp283.pdf
下記のURLにソース一式があります。ftp://ftp.xilinx.com/pub/applications/xapp/xapp283.zip

また、乗算器を乗算器としてコーディングしたものが、xapp637です。
http://www.xilinx.co.jp/bvdocs/appnotes/xapp637.pdf
下記のURLにソース一式があります。ftp://ftp.xilinx.com/pub/applications/xapp/xapp637.zip
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re^7: USBメモリとのインターフェイス コメント数:  1件
  さとう 2004/01/05 (月) 13:06
 少し脇道になりますが、CompactFlashにUSBのBコネが付いた物を見たことがあります。
 たぶんUSBリーダ機能付きだと思いますが、CFはIDEとして動くので、I/Oが確保できるならアクセスは比較的簡単です。でも、CFじゃでかいですよね。

>確かにデジカメ効果?で値段が下がったメモリーカードも魅力はありますが、
>なんと言ってもパソコンとOSがダイレクトにサポートするUSBメモリの使いや
>すさは大きいと思います。
 ご承知だとは思いますが、大半のUSBメモリカードリーダはマスストレージクラスなので、OSからの見かけ上はUSBメモリとの差はありません。

>個人的には、USBメモリはソニーのメモリースティック戦略(?)
>をあっけなく崩してしまう可能性もあるのではないかと思っています。
 USBメモリは余計な物が乗っている分割高になるのと、OSの問題と、
コネクタがでかいので、デジカメや携帯電話には浸透しないと思います。
 といっても、メモリースティックはダメだと思いますが...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re^2: RGB・YUV信号源 コメント数:  1件
  さとう 2004/01/05 (月) 12:43
>昔はFPGAが小さかったので乗算器が入りにくかったのですが、
>NP1003DのFPGAは100kゲートあり、8ビットのRGB→YUV変換回路は
>その10%程度しか使用しません。

 私もNTSCエンコーダーで一度やりましたが、
http://members.at.infoseek.co.jp/x1resource/xilinx/ntsc/ntsc.htm
 私のはソースがきたなくて汎用性に欠けるのでお勧めはいたしません。

 前はhttp://www.opencores.org/projects に"COLOR SPACE CONVERTER"で出ていましたが今みたら見あたりませんでした。
 どこかのバックアップサイトにはあるかも。

 XilinxのVertexアプリケーションノート辺りにRGBをBlockRAMのアドレスに突っ込んで、データ出力を加算して作るタイプのがあったと思います。
 様するにテーブル変換ですがRGB各8bitならこの方法が一番楽でしょうね。

>  2.RGB→YUV変換ICはありませんか。
 信号がデジタルレベルでFPGAが扱えるなら、FPGAで組んだ方が早い!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re: RGB・YUV信号源 コメント数:  2件
  なひたふ 2004/01/04 (日) 15:48
あけましておめでとうございます。

> 作りたい者です。NP1003Cの時代から、各種画像処理のアプリケーションを
> 待っています。

デジタル画像処理というと、平均化や、二値化、微分、輪郭抽出、
色変換、アフィン変換、立体画像の計算などが考えられます。
Webには出していませんが、そういった画像処理装置を
OEMでいくつか開発したことがあります。

>  色々な壁に当たっていますが、まずお伺いしたいのは、RGBとYUVを並列に
> 出力したいのでRGB信号をYUV信号に変換したいと考えています。そこで、
>  1.FPGA内で変換してD/AをRGB,YUV2系統作成した方が良いか。
>  2.RGB→YUV変換ICはありませんか。

前者がいいでしょう。
昔はFPGAが小さかったので乗算器が入りにくかったのですが、
NP1003DのFPGAは100kゲートあり、8ビットのRGB→YUV変換回路は
その10%程度しか使用しません。

ただ、RGBかYUVの両方を同時に必要とするアプリケーションはとても
珍しいと思います。通常はどちらか一方の出力のみ必要とされます。

変換ICですが、BrookTree社のICにそういったものが
あったような気がしますが、簡単には手に入らないでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re: RGB・YUV信号源 コメント数:  0件
  軽石 2004/01/04 (日) 10:56
こんにちは○ださん

>  私は、NP1003DのUSBI/FをFLASH ROMに変更し、SDRAM等の高速RAM上へ
>  データを転送し、そこからSYNCに同期してD/Aへ転送する信号源を
> 作りたい者です。NP1003Cの時代から、各種画像処理のアプリケーションを
> 待っています。

具体的な処理をリクエストした方が早く実現してらえるかも?
画像処理の内容によっては現状では厳しいかも・・・・。

>  色々な壁に当たっていますが、まずお伺いしたいのは、RGBとYUVを並列に
> 出力したいのでRGB信号をYUV信号に変換したいと考えています。そこで、
>  1.FPGA内で変換してD/AをRGB,YUV2系統作成した方が良いか。

FPGA内ではD/Aできないのはご存知ですよね。
実現可能かわかりませんが仕組みとしてはFPGA内で2系統出力するのは間違いでは
ないと思われます。

>  2.RGB→YUV変換ICはありませんか。

フィリップスに行きましょう。
おそらくご希望の機能のICが見つかると思います。
が、個人で入手できるかはわかりませんが・・・・。

入手された場合制御はI2Cになるので制御の為には別にCPUが
必要となると思われます。

テーマとしてはなかなか歯ごたえがありそうですね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 Re: RGB・YUV信号源 コメント数:  0件
  カオナシ 2004/01/04 (日) 10:52
>  よろしくご教授下さい。
ご教示ください^^!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 RGB・YUV信号源 コメント数:  5件
  ○だ  | yo_wada@fd5.so-net.ne.jp 2004/01/04 (日) 01:24
 はじめまして。明けましておめでとう御座います。
 私は、NP1003DのUSBI/FをFLASH ROMに変更し、SDRAM等の高速RAM上へ
 データを転送し、そこからSYNCに同期してD/Aへ転送する信号源を
作りたい者です。NP1003Cの時代から、各種画像処理のアプリケーションを
待っています。
 色々な壁に当たっていますが、まずお伺いしたいのは、RGBとYUVを並列に
出力したいのでRGB信号をYUV信号に変換したいと考えています。そこで、
 1.FPGA内で変換してD/AをRGB,YUV2系統作成した方が良いか。
 2.RGB→YUV変換ICはありませんか。
 よろしくご教授下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^9: USBメモリとのインターフェイス コメント数:  0件
  個人研究者 2004/01/04 (日) 01:01
せきさん、あけましておめでとうございます。

正直言って、組み込みとUSBの関係は、もう少し整った環境が提供されていると思っていましたが、自作アプリケーションにはかなり難しそうですね。

Linuxでも(恐らくCEでも)マスストレージクラスはサポートされているようですが、今度はこれらの高度なOSを動かす環境を構築するのがどうなのかということですね。前に紹介されていた評価ボードは高価になりそうだし、手軽には取り組めないかもしれません。

出来合いのOS付きボードを少しあたってみます。ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^8: USBメモリとのインターフェイス コメント数:  1件
  せき 2004/01/03 (土) 15:08
あけまして、おめでとうございます。
 USBは、Windowsに外部デバイスを接続するために作られた規格なので、
ホスト側の処理内容はかなり複雑なものだと思います。
 本気で、USBホスト側を組込みで作られるのであれば、やはり、
Linuxをお勧めします。USBマスストテージクラスを通してFATのファイル
アクセスなどは、出来合いのものが揃っていますので、下記のハードルを
乗り越えることができれば、実現できるはずです。
ただし、このハードルは結構きついと思いますが。
 1)ターゲットボード上でLinuxカーネルを動かす。
 2)USBチップのデバイスドライバーを動かす。
 3)USBマスストテージクラスに必要なものを探して組み込む
ただし、その後も、組込みのためファイルシステムをいかに小さくするかなど
ややこしい課題が残りますが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^6: USBメモリとのインターフェイス コメント数:  2件
  個人研究者 2004/01/01 (木) 14:48
たけきさん、こんにちは。(あけまして、おめでとうございます。)
前回のレスで御礼を言いながらたけきさんの名前が抜けていました。失礼しました。とても参考になりました。ありがとうございます。

確かにデジカメ効果?で値段が下がったメモリーカードも魅力はありますが、なんと言ってもパソコンとOSがダイレクトにサポートするUSBメモリの使いやすさは大きいと思います。

個人的には、USBメモリはソニーのメモリースティック戦略(?)をあっけなく崩してしまう可能性もあるのではないかと思っています。

Nontaさんの情報にもありましたが、トラ技の4月号にH8の付録も付くようなので、さとうさんのコメントも参考にしながらH8の路線も考えてみます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 謹賀新年 コメント数:  0件
  なひたふ 2004/01/01 (木) 06:57
あけましておめでとうございます。
今年も宜しくお願いします。
今年も皆様にとって良い年でありますように。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re^7: USBメモリとのインターフェイス コメント数:  2件
  個人研究者 2003/12/30 (火) 18:11
返事が遅くなりました。すみません。

なひたふさん、さとうさん、せきさん、大変丁寧な解説をありがとうございます。自分がやろうとしていることがどういう事なのかやっとわかってきた感じです。^^;

大体、「USBコンプリート」は必須だろうということ、
USBプロトコルの理解にSCSIの知識も必要になるということ、
USBチップのドライブにはPCIインターフェイスが必要であり、それなりのOSが必要となるため、組込用マザーボードの利用がてっとり早そうということ、
組み込みのメモリ保存なら、H8ぐらいを使えばMMC/SDカードで実現できそうであること、
などがわかってきました。

依然としてわからないのは、USB・ストレージ・クラスをどの様に実現するかですが、「USBコンプリート」に書かれているのかもしれませんね。

だんだんハードルの高さがわかってきてビビッてますが、とりあえず、アドバイスを参考に検討してみます。ありがとうございました。(よいお年を)


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^3: 入力音量安定モード コメント数:  0件
  Daisuke! 2003/12/28 (日) 15:57
 http://park10.wakwak.com/~daisuke_elec
おひさしぶりです。最近ROMってました。m(__)m

> 3.CMを何らかの方法で判定して出力レベルを下げる。
うちのビデオデッキのCMカット機能は、CMは必ずステレオという特徴を利用しているようです。
参考までに。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re^2: 入力音量安定モード コメント数:  1件
  軽石 2003/12/28 (日) 11:11
> > 現在市販されているテレビに搭載されている機能で
> > テレビ番組からCMへ変わる時や外部機器の音量のレベル差を自動調整する[入力音量安定モード]どんな電子回路ですか???
>
> どんな電子回路かと聞かれたら「音量レベルを自動で調整する回路」と答えられます。

TAKE1さんそれじゃレスなっていないっす!(^^;
具体的な音量制御の方法(回路又は方式)について質問されているんでしょう。

実際の実現方法はわかりませんが、技術者としては3つ考えられます。

1.音声データがデジタルになっていれば音量レベルの平均値やピークから音量レベルを調整する。(全体のレベルを覚えておき、平均値(一定期間の)が高くなればその間の音量レベルをデジタルで下げる。

2.数百ms(?)応答特性を持つ積算回路をオペアンプを作りその電圧のレベルとボリューム値を一定に保つようにする。

3.CMを何らかの方法で判定して出力レベルを下げる。

実際にはどのように行われているか解析しないとわかりませんが、簡単はこんな方法が考えられます。
特許があったり、メーカ毎の味付けなどがあるのではずしているかもしれませんがこのような方法で実現できるはずです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re: 入力音量安定モード コメント数:  2件
  TAKE1 2003/12/28 (日) 05:11
> 現在市販されているテレビに搭載されている機能で
> テレビ番組からCMへ変わる時や外部機器の音量のレベル差を自動調整する[入力音量安定モード]どんな電子回路ですか???

どんな電子回路かと聞かれたら「音量レベルを自動で調整する回路」と答えられます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 入力音量安定モード コメント数:  3件
  岩田 進  | zac15490@rose.zero.ad.jp 2003/12/27 (土) 23:56
現在市販されているテレビに搭載されている機能で
テレビ番組からCMへ変わる時や外部機器の音量のレベル差を自動調整する[入力音量安定モード]どんな電子回路ですか???
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re^6: USBメモリとのインターフェイス コメント数:  3件
  さとう 2003/12/27 (土) 21:12
> 参考にはならないかもしれませんが,私の先輩で組み込み用途にMMCカードを
> 使ってデータを記録するのを作った人が居ました.
 MMC/SDはSPIプロトコルなのでお手軽なので、こういう用途には私も賛成です。実はセクタR/Wだけは、私も以前に実験してます。
http://members.at.infoseek.co.jp/x1resource/xilinx/mmc/mmc.htm

 Windowsに繋ぐときはUSBアダプタで、USBメモリより2周り程大きくなりますが、直アクセスの楽さを考えれば、私なら我慢しちゃいます。

>  もっとも,FATのフォーマットを自力でアクセスしないといけないのは
> 一緒ですね.
 フリーのソースもあるので、H8等C言語が動くプラットフォームなら、なんとかなるでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re^6: USBメモリとのインターフェイス コメント数:  0件
  せき 2003/12/27 (土) 05:08
評価ボードの情報ですが、
http://micro-system.ne.jp/
とかはどうでしょう。
そのほか、PCIバスの付いている評価ボード
http://www.kyoto-microcomputer.co.jp/sp/index.html#1
などに、PC用のUSBカードを刺すのもお勧めです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re^5: USBメモリとのインターフェイス コメント数:  1件
  せき 2003/12/27 (土) 04:38
組込みで、USBのホスト側を作りたいのですね。
それはWinCEか、LINUXを載せるべきでしょう。
LINUXであれば、
http://www.cqpub.co.jp/hanbai/books/MIF/MIFZ200303.htm
等に、かなりいい記事が載っています。
 汎用のUSBのホスト側のICとしては、NECのuPD720100/720101辺りの、
PCIバスのものになるので、それなりのOSを載せないとしんどいと思います。
コンパクトフラッシュであれば、ITRONや、OS無しでも、何とかなると思います。
USBのホスト側の説明が日本語で書かれているのはこの本ぐらいでしょう。
http://www.amazon.co.jp/exec/obidos/tg/detail/-/books/4434021656/contents/ref=ed_toc_dp_1_1/250-8364046-4985828
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re^5: USBメモリとのインターフェイス コメント数:  7件
  たけき 2003/12/27 (土) 02:37
個人研究者さん,はじめまして.

参考にはならないかもしれませんが,私の先輩で組み込み用途にMMCカードを
使ってデータを記録するのを作った人が居ました.USBに拘らなければデジカメ
効果(?)で安くなったメモリカードを使うのもいいかもしれません.

 もっとも,FATのフォーマットを自力でアクセスしないといけないのは
一緒ですね.私が見たのはH8でやってました.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re^4: USBメモリとのインターフェイス コメント数:  10件
  個人研究者 2003/12/27 (土) 01:07
詳しい解説をありがとうございます。

USBメモリをディスクドライブとして扱う上位プロトコルがSCSIになっている、という感じでしょうか。念頭に置いて勉強してみます。

Windows CE については、私の書き方が悪くて誤解があるようです。機器とパソコンの両方から同時に一つのUSBメモリにアクセスするのではなく、機器側で取り込んだデータをUSBメモリに入れておき、それをパソコンに差し込んで普通のファイルとしてアクセスする方法を考えています。最近のデジカメのメモリデバイスと同じ考え方です。

それを実現する上で機器側に必要な機能は、
 (1)USBプロトコルで機器とUSBメモリが通信できること。
だけでなく、 
 (2)後でパソコンからファイルとして見えるようにデータをUSBメモリに書き
  込んでおくこと。
が必要だと思いました。特に(2)関しては、機器側からデータだけでなく例えばFATの情報などを格納する必要があるので簡単でないと思います。

そこで、機器側のソフトウェアをWindows CE などとして、USBメモリを外部記憶装置として扱うデバイス・ドライバがあれば楽なのかなと思ったのです。

でもコスト的には直に書き込める方法がわかれば一番いいのかもしれませんね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re^3: USBメモリとのインターフェイス コメント数:  11件
  さとう 2003/12/26 (金) 21:35
 USBの本家にも、ドキュメントがあります。
 基本的にはSCSIコマンドをUSB上に乗せたものなので、SCSIを理解していれば、そんなに難しくないと思います。

> うまくいけば、「機器から見てもパソコンから見てもUSBメモリのデータが
>外部ストレージのWindowsファイルに見える」という状態が作れるのかなと思
>いました。(単なる思いつきです)Microsoft 関連も調べてみます。
 この辺になると、Windowsの上位層==キャッシュが問題になると思います。
 切り口がセクターアクセスなので、FAT更新等で衝突を起こします。

 固定ドライブではなくリムーバブルメディアとし、どちらかのアクセスでロックを掛けて、もう一方をイジェクト状態にする等、排他制御が必要でしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re^6: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  0件
  みや 2003/12/26 (金) 17:53
みやです。
返事ありがとうございます。

> ためしに、SDRAMサンプルVHDLソースを次のように書換えてください。
> これで、SDRAMには54MHzが供給されるようになります。
>
試してみましたが同じ状況です。
もう少し調べてみます。

以上、宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re^2: USBメモリとのインターフェイス コメント数:  12件
  個人研究者 2003/12/26 (金) 16:00
早速の回答ありがとうございます。

USB Complete は、パソコン側のプログラミングと機器側のChip選択などについても書かれているみたいで、Reviewを見た限りでは良さそうな感じですね。

質問した後考えてみたのですが、組み込みの方に例えばWindowsCEなどを使うのは余計に難しいでしょうか。

うまくいけば、「機器から見てもパソコンから見てもUSBメモリのデータが外部ストレージのWindowsファイルに見える」という状態が作れるのかなと思いました。(単なる思いつきです)Microsoft 関連も調べてみます。

何かアドバイスがあれば、またよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re: USBメモリとのインターフェイス コメント数:  13件
  なひたふ 2003/12/26 (金) 14:33
こんにちは

Webには、本当に肝心な情報はなかなかないでしょう。

> USBメモリは「USB・マスストレージクラス」という規格によってOSからディスクドライブのように認識されているそうです。

技術評論社の「WindowsXP デバイスドライバプログラミング 入門と実践」
にマスストレージクラスのことが載っています。

それでだめなら、USB COMPLETEを買ってみるか、
http://www.amazon.co.jp/exec/obidos/ASIN/B00005RFLJ/250-8558313-9694641

それでもだめならwww.usb.orgから入手しましょう。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 USBメモリとのインターフェイス コメント数:  14件
  個人研究者 2003/12/26 (金) 14:16
こんにちは。
久しぶりに質問させて頂きます。

最近、USBメモリも安くなり、相対的に大容量のものが入手しやすくなりましたが、私もパソコンを使う作業ではとても重宝しています。

これを利用すれば、いろんな機器とのデータ受け渡しもとても楽になると思い、機器側のインターフェイスを自作できないかなと考えましたが、最初から情報不足で手が出ない状態です。

USBメモリは「USB・マスストレージクラス」という規格によってOSからディスクドライブのように認識されているそうです。

しかし、データを便利にやりとりするためには、USBインターフェイス以外にWindowsなどから認識できるファイルとしてデータを読み書きするための技術が必要かと思っています。

そこで質問ですが、USB・マスストレージクラスとファイル読み書きについて解説した良い本などがあればどなたか教えて頂けないでしょうか。

またこのような機能を実装したマイコンボードなどがあれば便利かなと思いますが、そのような評価キットなどはあるのでしょうか?

インターネットは一通り検索したつもりですが、まだまだ甘いかもしれません。アドバイスがあればよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re^2: サンプルソースC++のコンパイルについて質問です。 コメント数:  0件
  みや 2003/12/26 (金) 13:09
みやです。
返事ありがとうございます。


> FTDI社のドライバに、DLLと一緒に入っているFTD2XX.libを一緒に
> リンクしてください。
>
FTD2XX.libを付け加えたらエラーがなくなりました。

ありがとうございました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 Re: サンプルソースC++のコンパイルについて質問です。 コメント数:  1件
  なひたふ 2003/12/26 (金) 11:53
> np1003s1.obj : error LNK2001: 外部シンボル "__imp__FT_Write@16" は未解決です

FTDI社のドライバに、DLLと一緒に入っているFTD2XX.libを一緒に
リンクしてください。

とりあえず、私もVisualC++を購入したので、
後ほどクロック周波数の問題も含めて試してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^5: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  1件
  なひたふ 2003/12/26 (金) 11:23
ためしに、SDRAMサンプルVHDLソースを次のように書換えてください。
これで、SDRAMには54MHzが供給されるようになります。

105〜111行目付近
component BUFG port(
I : in std_logic;
O : out std_logic
);
end component;
component IBUFG port(
I : in std_logic;
O : out std_logic
);
end component;

signal CLK_I,CLK_O,CLK_2X : std_logic;
signal CLK_1X_OP,CLK_2X_OP : std_logic;

120行目付近
ibufg01 : IBUFG PORT MAP (I=>CLK0, O=>CLK_I);
bufg01 : BUFG PORT MAP (I=>CLK_O, O=>CLK_1X_OP);
bufg02 : BUFG PORT MAP (I=>CLK_2X, O=>CLK_2X_OP);

DLL1 : CLKDLL
PORT MAP (CLKIN=>CLK_I, CLKFB=>CLK_2X_OP, RST=>logicl,
CLK0=>CLK_O, CLK90=>open, CLK180=>open, CLK270=>open,
CLK2X=>CLK_2X, CLKDV=>open, LOCKED=>open);

CLK <= CLK_2X_OP;
logicH <= '1';
logicL <= '0';
SD_CLK <= not CLK_2X_OP;

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 サンプルソースC++のコンパイルについて質問です。 コメント数:  2件
  みや 2003/12/26 (金) 11:18
度々すみません。
みやです。

HPにあるサンプルソースをVC++6.0でコンパイルしようとしたのですが
下記のようなエラーが発生します。
コンパイルはnp1003s1.cppとFtd2xx.hをしています。

np1003s1.obj : error LNK2001: 外部シンボル "__imp__FT_Write@16" は未解決です
↑と同じエラーがあと8件出ています。

これはFtd2xx.h内で定義しているのが未解決になっていると思われるのですが
np1003s1.cppの方でインクルードしているのもかかわらずエラーが出るってことは、何か設定を間違っているのでしょうか?


以上、宜しくお願いします
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^4: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  2件
  みや 2003/12/26 (金) 11:06
みやです。
返事ありがとうございます。

>書き込んだデータと読み込んだデータが、
>1バイトずれているということはないでしょうか?
fcで比較しましたが1バイトずれているように見えません
<fcの結果を抜粋>
ファイル hosi.bmpとd.bmpを比較します
00000000: 42 4A
00000001: 4D 49
00000002: 36 2A
00000003: F8 E8
00000004: 1F 0B

のようになっています。
数回リードを行いましたが結果は同じでしたので
ボードを一旦抜き再度接続してリードしてみました。
(接続時にSDRAMの内容をクリアしています)

接続後、0クリアのデータを取り込めたのでライトするときに
何らかしらの影響が出ていると思います。
とりあえず年明けにでもFPGAとSDRAMのバス状態を確認してみます。
これ以外に検証する方法がありましたら教えてください。


>もし、お手持ちの水晶が40MHz以上ならば通常のクロックを、
>30MHz以下なら2倍クロックを使用されることをお勧めします。
現状、ボード上にある27MHzをそのまま使用しています。


>ちょっと見かけないケースです。
>改行コードをCRやCR+LFにするなど試してみてください。
色々と試してみます。

以上、宜しくお願いします
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re^3: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  3件
  なひたふ 2003/12/25 (木) 18:30
> offset addressを記入しない場合の開始アドレスは0から開始するのですか?
はい。そうです。

> 書き込みと読み込みができたりできなかったりします。
> できた場合のデータを比較をして見ましたが全然違うデータになっています。
コマンドプロンプトに標準で付属しているfc.exeを使って、
データを比較してみてください。
FC /B file1 file2 | more
でバイナリイメージを比較できます。
書き込んだデータと読み込んだデータが、
1バイトずれているということはないでしょうか?

ずれているとすれば、クロック周波数が原因であると考えられます。
クロック周波数が25MHz以下だとSDRAMがあまりうまく動作せず、
読み込んだデータと書き込んだデータが化けてしまいます。
そのようなときSpartanIIの中のDLLを使って2倍クロックを生成します。

もし、お手持ちの水晶が40MHz以上ならば通常のクロックを、
30MHz以下なら2倍クロックを使用されることをお勧めします。

> "FPGA OS>"のプロンプトが表示されない(改行もできない)のですが、
> これはTera Termの設定が悪いのでしょうか?

ちょっと見かけないケースです。
改行コードをCRやCR+LFにするなど試してみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^2: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  4件
  みや 2003/12/25 (木) 18:12
みやです。

返事ありがとうございます。

>> >np1003s1 -w XXX.bmp 0
>通常、0は省略します。
offset addressを記入しない場合の開始アドレスは0から開始するのですか?


>状況は、書き込んだイメージと取り出したイメージが合わないのでしょうか?
>それとも全く動かないのでしょうか?
状況として
書き込みと読み込みができたりできなかったりします。
できた場合のデータを比較をして見ましたが全然違うデータになっています。
安定しないってことは電源が不安定なんでしょうか?
ちなみに電源はバスパワーで行っています。

あと、FPGA OSについて質問ですが
FPGA OSをTera Termで起動した場合
"FPGA OS>"のプロンプトが表示されない(改行もできない)のですが、
これはTera Termの設定が悪いのでしょうか?
ちなみにプロンプトが表示されてなくてもメモリの内容と変更はできました。

以上、宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re: NP1003Dを使ったSDRAMへの読み書きについて コメント数:  5件
  なひたふ 2003/12/25 (木) 14:19
こんにちは

NP1003Dをご利用くださいまして有難うございます。

> <SDRAMへの書き込みコマンド>
> >np1003s1 -w XXX.bmp 0
通常、0は省略します。

> <SDRAMの読み込みコマンド>
> >np1003s1 -r read.bmp XXX.bmpのファイルサイズを記入 0
こちらも通常、0は省略します。

> lengthは、読み込みのサイズを表すもので
> offset addressは、書き込み又は読み込みの開始アドレスを指していると
> 解釈していますがこれは合っていますか?
そのとおりです。

状況は、書き込んだイメージと取り出したイメージが合わないのでしょうか?
それとも全く動かないのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^9: 内容が違いますが失礼いたします。 コメント数:  0件
  軽石 2003/12/25 (木) 12:01
> >軽石さん
> 緊急告知だって,H8で遊ぼーよ.
> http://www.cqpub.co.jp/toragi/rel_item/tr0404/mbh8.htm

トラ技の広告を見てチェックしました。

じつは今PICと戦っています。
デバッガーモードでステップ実行すると問題ないのに、リアルモードで実行すると
なぜかレジスタのセット状態が変わる所まで追っています。

どうやらコンパイラーが吐き出すコードによって動きが変わるみたいです。
(コンパイラーが吐き出すコードに問題がありそうですが、ぱっと見た目は問題ない)

当初H8を推奨したのですが、「国産はだめ」という事で選択肢がこれしかなかったんです。

が、厳しい動きを要求し始めたら挙動不審な動きをはじめました(内部の変数の値が変わる)

今にして思えば何が何でもH8だとプッシュすればよかったかな・・・・・。
(結果としては選択を間違えていたと思われます。)

来年はH8に手を染めるのはこれで確定にします。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 NP1003Dを使ったSDRAMへの読み書きについて コメント数:  6件
  みや 2003/12/25 (木) 09:36
はじめまして、みやと申します。

NP1003DとPCを接続してBMPファイルをNP1003のSDRAMに書き込み
その後、書き込まれたか確認のためにSDRAMからデータを読み込みたいと
思いHPにあるサンプルソースを実行してみましたがうまくいきません。
実行コマンドのパラメータ設定に問題があるのでしょうか?

<SDRAMへの書き込みコマンド>
>np1003s1 -w XXX.bmp 0


<SDRAMの読み込みコマンド>
>np1003s1 -r read.bmp XXX.bmpのファイルサイズを記入 0


あと、パラメータ内にあるlengthとoffset addressについて確認したいのですが
lengthは、読み込みのサイズを表すもので
offset addressは、書き込み又は読み込みの開始アドレスを指していると
解釈していますがこれは合っていますか?


以上、宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^8: レベル変換回路(ダイオード2個+抵抗) コメント数:  1件
  Nonta 2003/12/24 (水) 21:48
> なるほど、クリッパ、リミッタがレベル変換なんですね。
こーゆー理解は,回路設計を知らないからでしょうね.
現在の回路設計は「トップダウン設計」とゆー手法で行われています.
説明は面倒だから,「ジョンソンカウンタの小林」さんの本を読んでみてください.
http://search.cqpub.co.jp/finder/SearchBooks.asp?q1=%8F%AC%97%D1+%96F%92%BC

この例でゆーと,「±15Vを0〜5Vに変換する」とゆー機能仕様に対し,
具体的な回路として,レベルを1/6にすればいいから,
(IN)--R(25k)---+---(OUT)
_______________+---R(10k)----(+5V)
_______________+---R(10k)----(GND)
のような抵抗分割も考えられます.
その他にも,各種の回路が考えられますが,例示のリミッタ回路が
信頼性,コスト,性能を考えると,多分最適とゆーことです.

>軽石さん
緊急告知だって,H8で遊ぼーよ.
http://www.cqpub.co.jp/toragi/rel_item/tr0404/mbh8.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re^7: レベル変換回路(ダイオード2個+抵抗) コメント数:  2件
  軽石 2003/12/24 (水) 18:19
> たびたびスイマセン(汗)

いいかげんにしないと月に変わってお仕置きよ・・・・・!(^o^)

> なるほど、クリッパ、リミッタがレベル変換なんですね。

ちょっと勘違いしているような気が・・・・。
うまく説明できない・・・・・・。(>o<)

> でもクリッパ、リミッタっていうのは…
> 入力波形の上部分を切り取ったり、上部分を取り出すものではないんですか??

言葉の意味は辞典で調べましょう。
リミットは制限をあらわしますから取り出す意味は持てないとおもいませんか?

> それが…なぜレベル変換につながるんでしょうか?

こちらから質問です。レベル変換とはどんな事をすればよいのでしょうか?

> 質問ばっかで…ゴメンなさい。

謝りながら質問する前にレスした人の文章を何度も読み直して見ましょう。
1回読んだだけでレスしてはいけません。

そろそろ質問する前に自分なりの推測もしてみましょう。
入力波形を図に書いて回路を通過する時にどのような現象が起きるか考えてみましょう。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^6: レベル変換回路(ダイオード2個+抵抗) コメント数:  3件
  ピンゾロ 2003/12/24 (水) 17:28
たびたびスイマセン(汗)
なるほど、クリッパ、リミッタがレベル変換なんですね。
でもクリッパ、リミッタっていうのは…
入力波形の上部分を切り取ったり、上部分を取り出すものではないんですか??
それが…なぜレベル変換につながるんでしょうか?
質問ばっかで…ゴメンなさい。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re^5: レベルダウン回路(ダイオード2個+抵抗) コメント数:  4件
  Nonta 2003/12/24 (水) 16:59
> 質問の際にはできるだけ一般的な言葉で書くようにしましょう。
正しい言葉を知っていたら,こんな質問しないでしょうから,
大目に見てやってください.>なひたふ先生

>ピンゾロさん
「レベルダウン回路」→「レベル変換回路」
回路動作からゆーと,「クリッパ回路,あるいはリミッタ回路」
です.これからは,こー呼んでください.

なお,「混合ブリッジ整流器」とゆーのは,整流器と制御整流器
(サイリスタ等)が混合されたブリッジ整流器のことです.
こーゆーやつですね.
http://nkatarogu.niec.co.jp/inter/ja/catalog/9990_NIEC/aaa000-001/aaa000p07-001/pbh308ac.pdf

動作原理は,なひたふ先生の書かれたとおりですが,ICの
許容入力電圧範囲は大概,Vcc+0.3V≧Vin≧GND-0.3Vとなって
いますから,実際に使用する場合は,順方向電圧の小さい
ショットキ・バリア・ダイオードを使います.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^4: レベルダウン回路(ダイオード2個+抵抗) コメント数:  5件
  なひたふ 2003/12/24 (水) 11:10
こんにちは

>http://kenji.ram.ne.jp/e500/rs232if.html
>こんな回路です。

±12Vの電圧源から来る電流を抵抗で弱らせて、
ダイオードで強制的にクリッピングします。

マイナスの電圧が来た場合は下のDiodeが
プラスの電圧が来た場合は上のDiodeがそれぞれONして
出力電圧を0Vあるいは5Vに近づけます。
抵抗は電流制限用なので、1k〜10k程度の範囲で適当に決めます。


ところで、質問の際にはできるだけ一般的な言葉で書くようにしましょう。
「レベルダウン回路」をGoogleで検索すると、
> http://kenji.ram.ne.jp/e500/rs232if.html
のURLだけがひっかかりますねよね。

つまり、レベルダウン回路というのはあまり使用されていない表現です。
これを「RS232Cレベル変換回路」と書けばたくさん引っかかります。
「抵抗とダイオードで作るRS232Cレベル変換回路を※※に使いたい。」
と書けば質問の意図がわかりやすくなったでしょう。

混合ブリッジ整流器も同様です。
私は混合ブリッジ整流器というのを知らないので、
応用できるかどうかはわかりません。

多くの人が使うことばで書くと、レスしやすくなりますよ
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.