なひたふ新聞読者の声 |
なひたふ新聞に載っている回路についての疑問や、電子回路のついて の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。 |
日付順表示|スレッド表示|ホームページへ戻る|ヘルプ |
100 | Re^2: VCOについて | コメント数: 3件 |
あおい | 2004/06/16 (水) 14:14 | |
ダイオードの型は1S1588です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
99 | Re: VCOについて | コメント数: 4件 |
なひたふ | 2004/06/16 (水) 13:59 | |
>
電圧制御発振回路(VCO)のダイオードが何のために使われているのか教えて下さいお願いします。 それは可変容量ダイオードでしょう。 そのダイオードはコンデンサとして動いています。 質問の際にはダイオードの型番や、具体的な回路を出すようにしましょう。 その方が、より的確な回答がえられますよ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
98 | VCOについて | コメント数: 5件 |
あおい | 2004/06/16 (水) 13:41 | |
電圧制御発振回路(VCO)のダイオードが何のために使われているのか教えて下さいお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
97 | アドバイスありがとうございます | コメント数: 0件 |
Y.Suzuki | 2004/06/15 (火) 17:31 | |
アドバイスありがとうございます 垂直ノコギリ波発生回路のコンデンサあたりを当って見ます。 このモニタはイオンクラフトマシン「リフター」の電源にも代用したりして、ずいぶん酷使しているのですが、がんばって直してみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
96 | Re: PCモニタの垂直方向の不定期なゆがみを修理したい | コメント数: 0件 |
軽石 | 2004/06/15 (火) 11:31 | |
>
PCのモニタ修理を自分で行いたいので、ノウハウある方おられましたらよろしくお願いいたします。 すでにレスがついていますが、コンデンサの容量抜けの可能性が高いですね。 つわものユーザーはメーカーに問い合わせて回路図を入手しようとした人もいるみたいです。 (家庭用TVは聞いた事がありますが、PC用でも適用できるか不明です。) 古いモニターは周波数が低いので直す意味はあまり無かったりします。 方法は一度ばらして必要なコンデンサの容量と耐圧のリストを作って総当りで交換するのが確実です。 (1本交換して動作確認すると感電する確立が上がるのであまりお勧めしません) 全部が無理だったら容量計を購入して抜けがないか地道に確認するくらいでしょうか? 容量は近い値だとうまく動かない可能性がありますので変更しないようにしましょう。 (最悪壊れます) 昔TVを修理しようとして色々みたけどはずした基板を付け忘れてTVが壊れました。(-o-; それ以来TV(モニタ)の中には手を入れない事にしています。(^^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
95 | Re:PCモニタの垂直方向の不定期なゆがみを修理したい | コメント数: 0件 |
shirou | 2004/06/15 (火) 09:05 | |
>
こういう現象はここが原因だという修理パターンがあるのでしょうか? > 垂直同期回路のどこかのバイアスが安定していないのでしょうか > 埃かなんかでパターンの導通→絶縁を繰り返しているとか > コンデンサ入れれば直る話なのでしょうか 電解コンデンサの容量抜けあたりが怪しいのでは? 当てずっぽうですが。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
94 | Re: PCモニタの垂直方向の不定期なゆがみを修理したい | コメント数: 0件 |
なひたふ | 2004/06/15 (火) 08:26 | |
こんにちは > こういう現象はここが原因だという修理パターンがあるのでしょうか? > 垂直同期回路のどこかのバイアスが安定していないのでしょうか > 埃かなんかでパターンの導通→絶縁を繰り返しているとか > コンデンサ入れれば直る話なのでしょうか 垂直ののこぎり波発生回路関係だとは思うのですが、 故障個所の特定は難しいですね。 私もテレビの修理は過去に2回挑戦しましたが、 うまくいきませんでした。 テレビの中に入っているICはどれも汎用のものではなく、 メーカー独自のICであるため、回路の追跡ができなかったのです。 中をあけて埃の掃除をしたり、目視でわかるほど 明らかに故障している部品を探してみましょう。 高圧には注意してください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
93 | Re^2: スロットマシンの液晶画像をテレビに表示したいです。 | コメント数: 0件 |
まさお | 2004/06/15 (火) 07:35 | |
有難うございます。やっぱりこれだけの情報では判らないですね。申し訳ないです。 液晶にはこの9本以外には何も繋がっていないので、液晶自体の電源なんかも含まれていると思うのですが・・・。シンクロとかよく判りません。家にあるのはテスターだけです。こんな僕に専門的な意見を有難うございました。液晶メーカーと、スロットメーカーに問い合わせてみます。多分無視されると思うけど・・・。 また書き込みます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
92 | PCモニタの垂直方向の不定期なゆがみを修理したい | コメント数: 6件 |
Y.Suzuki | yutaro_gatto@yahoo.co.jp | 2004/06/15 (火) 02:19 | |
こんばんは 趣味のレベルで電子工作をよくやります。光センサ関係の工作が好きです。 PCのモニタ修理を自分で行いたいので、ノウハウある方おられましたらよろしくお願いいたします。 問題は、 モニタが上下方向に不定期に突発的に伸びたり縮んだり(程度としては1cm位動きます/17inch)します。しばらく落ち着いたかと思ったら頻繁に生じたりします。 水平方向の歪みは生じません。 こういう現象はここが原因だという修理パターンがあるのでしょうか? 垂直同期回路のどこかのバイアスが安定していないのでしょうか 埃かなんかでパターンの導通→絶縁を繰り返しているとか コンデンサ入れれば直る話なのでしょうか よろしくお願いいたします |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
91 | Re: スロットマシンの液晶画像をテレビに表示したいです。 | コメント数: 1件 |
薄幸 | 2004/06/14 (月) 21:34 | |
>
画像基板から14本の配線が出ていて、5本と9本に別れて液晶画面裏の二つのコネクタに繋がっています。 > RGB-NTSC変換キットを購入した場合どの線を繋げば良いのでしょうか? > またダウンスキャンコンバーター等で変換することは可能でしょうか? 情報が少なすぎて・・・一般的なことだけ。 必要な信号はR,G,B,CSYNC(H-SYNC + V-SYNC)とGNDを繋ぎます。 5+9本の線の内訳が不明なんでシンクロでも当てれば検討付くでしょう。 で、ダウンスキャンコンバータはVGA(水平≒32KHzとか)からNTSCへの変換なので元信号がVGAクラスなら必要でしょう。 ※今じゃパチンコもパチスロも液晶がVGAクラスやSVGAクラスってのがあるのね(^^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
90 | スロットマシンの液晶画像をテレビに表示したいです。 | コメント数: 2件 |
まさお | 2004/06/14 (月) 19:24 | |
始めまして。 中古で購入したスロットマシンの液晶画像をテレビに映したいのですが、 いい方法はありますでしょうか? 本体を少し分解してみた所、液晶は松下電器産業製。品名TR-LM7WR3PS。 画像基板から14本の配線が出ていて、5本と9本に別れて液晶画面裏の二つのコネクタに繋がっています。 RGB-NTSC変換キットを購入した場合どの線を繋げば良いのでしょうか? またダウンスキャンコンバーター等で変換することは可能でしょうか? 情報が少ないかもしれませんが、判る範囲で教えて頂ければ助かります。 よろしくお願い致します。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
89 | Re^3: FPGA消費電力測定 | コメント数: 0件 |
なひたふ | 2004/06/14 (月) 15:43 | |
>
とりあえず、XilinxのISEにあるXPowerで見積もりをしてみたんですが、 > Vccintで消費される電力に、DynamicとQuiescentの2つありました。 > 実際に動作している時に測定されるのはDynamicだけなのでしょうか。 > それとも、両者の和を測定することになるのでしょうか。 両者の和だと思います。 たぶん、Dynamicは周波数(フリップフロップの遷移頻度)に比例する 成分で、Quiescentは周波数に依存しない部分です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
88 | Re^2: FPGA消費電力測定 | コメント数: 1件 |
けすけ | 2004/06/14 (月) 13:56 | |
とりあえず、XilinxのISEにあるXPowerで見積もりをしてみたんですが、 Vccintで消費される電力に、DynamicとQuiescentの2つありました。 実際に動作している時に測定されるのはDynamicだけなのでしょうか。 それとも、両者の和を測定することになるのでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
87 | Re^7: ICが破壊したり誤作動する理由 | コメント数: 0件 |
shirou | 2004/06/14 (月) 07:59 | |
> ちなみにコンデンサ爆発も同時代(20年くらい前)の事です。 > ※破壊では2SBxxのTrの熱破壊とかC-MOSの静電気破壊、3電源D-RAMの破壊経験ありです(大汗 皆さんご同様にいろいろ経験されていますね。 部品では無いのですが、24Vのバス用のバッテリーを使って、モータの制御基板をデバッグしていたとき、オシロのプローブが外れて、GNDクリップがバッテリーのプラスにさわったとき、、、オシロのプローブが2本ともとけてシールドがむき出しになりました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
86 | Re^3: どうしてもわっかんなです。 | コメント数: 0件 |
なひたふ | 2004/06/13 (日) 22:21 | |
こんばんは > というところまで調べたんですが関係性がいまいちよくわかりません。教えて下さいお願いします。 明確な関連性はありませんが、 無安定マルチバイブレータのトランジスタを増幅器と考えれば、 それが2段つながってループしている点は似ているといえるでしょう。 世の中の発振器のうち何10%かは、増幅器とループで作られています。 ところで、なぜ関連性をさがしているのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
85 | Re^6: ICが破壊したり誤作動する理由 | コメント数: 1件 |
薄幸 | 2004/06/13 (日) 21:07 | |
こんばんわ > > 紫外線消去の窓が真っ赤になって焼けました。 > これ、今度やってみよう。 > 78xxで電源作ってますけど、これで容量的にはいけますかね? さて、今のデバイスでどうでしょうか?。やったのは15年〜20年位前のデバイス(2716とか2732)だったんで(^^; ちなみにコンデンサ爆発も同時代(20年くらい前)の事です。 ※破壊では2SBxxのTrの熱破壊とかC-MOSの静電気破壊、3電源D-RAMの破壊経験ありです(大汗 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
84 | Re^3: どうしてもわっかんなです。 | コメント数: 0件 |
Nonta | 2004/06/13 (日) 20:47 | |
>
...関係性がいまいちよくわかりません。教えて下さいお願いします。 先にも書いたように,関係性は全くありません. 無いものは,いくら調べてもわからないでしょう. 紹介した本の14ページに回路設計の抽象化レベルが載っていますが,「帰還増幅回路」も「マルチバイブレータ」もここの「機能ブロック設計」レベルでの話です. 下位のトランジスタレベルでは,同一の物理法則に従って動作していますから大いに関係性はありますが,ミソとクソを一緒にした話をしたいんでしょうか? 「帰還増幅回路」と「マルチバイブレータ」がどのカテゴリー(範疇)に属し,そのカテゴリーの中でどーゆー関係性が有るのかとゆー話ではないんでしょうか? そーゆー点では,2つとも「電子回路」の範疇に属し,実現すべき機能が全く異なりますから,関係性はありません. 詳しく書けば,帰還増幅回路は「線形」アナログ回路で,マルチバイブレータは,半分デジタル回路です. つまり,'1','0'のレベル判定機能が「非線形」アナログ回路,その後の'1','0'記憶機能はデジタル回路です. 線形回路と,非線形の違いは紹介した本の182ページにあります. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
83 | Re^2: どうしてもわっかんなです。 | コメント数: 2件 |
ゆい | 2004/06/13 (日) 17:42 | |
・帰還増幅器 増幅器の出力の一部を入力側にして帰還して、入力信号と一緒に加え合わせた形の増幅器を帰還増幅器という。この帰還の動作は2種類あって、帰還することにより、利得が増大するのを正帰還または再生帰還という。これとは逆に帰還することにより利得が減少する動作を負帰還という ・マルチバイブレータ (a)無安定マルチバイブレータは結合回路が両方ともCR結合で構成されており、安定状態がなく入力パルスを加えなくても自励発振を行う方形波発振器である。その繰り返し周期とパルス幅は回路定数で定まる。 (b)単安定マルチバイブレータは結合回路の一方がCR結合で、他方が直流結合で構成される。安定状態が一つだけ存在し、入力パルスによって安定状態から準安定状態にジャンプし、回路定数で定まる時間を経過したのち、再び元の安定状態に復帰する。 (c)双安定マルチバイブレータは結合回路が両方とも直流結合で構成される。安定状態 は二つ存在し、入力パルスによって二つの安定状態を交互に往復する。 というところまで調べたんですが関係性がいまいちよくわかりません。教えて下さいお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
82 | Re: どうしてもわっかんなです。 | コメント数: 3件 |
なひたふ | 2004/06/13 (日) 10:42 | |
こんにっちは > 帰還増幅回路とマルチバイブレータの関係性を教えて下さい。 宿題ですか? いままで、どのようなことを調べた上で、わからなかったのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
81 | Re^7: 自作のPCIボードをつくりたい | コメント数: 4件 |
GO | 2004/06/13 (日) 00:55 | |
GOです、丁寧な助言を頂きありがとうございます。 1. > そういうことはAPIC21の仕様を確認してから購入しましょう。 そのとおりです。。。残念ですが、GOはまだ複雑高度なICの仕様書を 読む力がありません。 今日も、APIC21関係資料をDLして、いろいろ読んでいるのですが、 読んでもPCI専門用語がでてきてよくわかりません。わからないのに 製作するのか、冒険だな? と言われてしまいますが、このAPIC21は 良心的で安いので、2個買って製作しながら理解していこうと思い、買 ってしまいました。。少なくとも熊谷研究室のPCIボードVERSION2、3 の回路ならなんとか、真似できると思いました。。 3. > それから、APIC21のWebサイトのFAQにもいろいろ書いてありますね。 これも印刷して、2、3度読みました。。少しはわかる感じがしました。 。。大変丁寧で、無料サイトで感謝しております。。 4. まだ自家所有の「PCIデバイス設計入門」「PCIバスによるIO制御」なる 本をよんだり、PCI講習会に参加して、いろいろ失敗しながらも、やって みます。。。ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
80 | Re: どうしてもわっかんなです。 | コメント数: 0件 |
Nonta | 2004/06/12 (土) 23:34 | |
帰還増幅回路は,1927年にH.S.Blackにより発明されました. http://www.keisoplaza.info/+museum/matsumoto/inst12.pdf マルチバイブレータは,1918年にAbrahamとBlochにより発明されて,命名されました. http://www.cqpub.co.jp/hanbai/books/MSP/MSPZ200405.htm 両者の関係性は,どちらもアナログ回路であることを除けば全くありません. 上掲書によると,帰還増幅回路は周波数領域で解析し,マルチバイブレータは時間領域で解析するとゆー解析手法の違いもあるそうです. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
79 | Re: 二段発振回路が優れている理由を教えてください。 | コメント数: 0件 |
Nonta | 2004/06/12 (土) 23:23 | |
回路(↓の300ページ)をみると http://www.cqpub.co.jp/hanbai/books/MSP/MSPZ200405.htm 経済性では「積分回路」のほうが「微分回路」よりも,部品点数が少なくて,優れているように見えます. 特性的には「積分回路」の場合,シュミット特性の閾値のバラツキが発振周波数に影響する度合いが大きいことを除けば,対温度・対電源電圧安定度は「微分回路」よりも落ちるかどうかは,実験してみないとわかりません. 世の中,半可通と嘘つきばっかりですから,人の話を鵜呑みにしないで自分で確認しましょう. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
78 | どうしてもわっかんなです。 | コメント数: 5件 |
ゆい | 2004/06/12 (土) 23:17 | |
帰還増幅回路とマルチバイブレータの関係性を教えて下さい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
77 | Re^6: 自作のPCIボードをつくりたい | コメント数: 5件 |
なひたふ | 2004/06/12 (土) 23:13 | |
こんばんは > 33MHZではなんとか動きそうでしょうか? 信号クロストーク > などで、無理でしょうか? 丁寧につくれば動くかもしれませんが、 万能基板や汎用のピッチ変換基板を使うと 電源が弱くなったり、配線の引き回しが悪いとかで、 何万サイクルかに1回くらいの確率で データが化けることがあるかもしれません。 > また、貴君が助言してくれた「PCIの初期設定は大変複雑で難しい」 > といわれた件は、このAPIC21が内部でやってくれるのでしょうか そういうことはAPIC21の仕様を確認してから購入しましょう。 私はAPIC21がどんな石か使ったことがないのですが、 ざっとデータシートを読むと、おそらく外付けのEEPROMに 書き込んでおけば勝手にやってくれると思います。 (そもそもそういう複雑な部分をカプセル化してくれるICだと思います) また、機密保持契約でガチガチなICではなく、中小の事業者でも 気軽に使用できるような石ではないかなという気がします。 > 「PCIバスの詳細と応用へのステップ」や「PCI特集PCIバスの基礎と応用」 > を読めば、初期設定のことがわかるのでしょうか? それから、APIC21のWebサイトのFAQにもいろいろ書いてありますね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
76 | Re^5: 自作のPCIボードをつくりたい | コメント数: 6件 |
GO | 2004/06/12 (土) 23:00 | |
なひたふさんへ、PCIボードについての試作でお願いします。 1. 昨日、ADTECでAPIC21なるPCIブッリッジICを2個購入しました。 144PINのこのICをQFP51に貼り、FLEX10K30もQFP51に貼って、 サンハヤトのPCIボードに手配線で取り付けて見たいのですが、 33MHZではなんとか動きそうでしょうか? 信号クロストーク などで、無理でしょうか? (熊谷研究室版をつくるのですが。。) 2. また、貴君が助言してくれた「PCIの初期設定は大変複雑で難しい」 といわれた件は、このAPIC21が内部でやってくれるのでしょうか 3. 「PCIバスの詳細と応用へのステップ」や「PCI特集PCIバスの基礎と応用」 を読めば、初期設定のことがわかるのでしょうか? よろしく、お願いします。。数年来の自分課題なのです。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
75 | 二段発振回路が優れている理由を教えてください。 | コメント数: 1件 |
ドーナツ | 2004/06/12 (土) 21:03 | |
シュミット特性をもつICの積分回路と微分回路を利用した2段発振回路では、後者の方が優れていると聞いたのですが、なぜですか。教えてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
74 | Re^5: ICが破壊したり誤作動する理由 | コメント数: 2件 |
K-ichi | k-ichi@mv.0038.net | 2004/06/12 (土) 19:04 | |
> やったことあるのはEP-ROMで電圧間違えたとか逆差しして > 本当に焼いたことがあります。(汗 > 紫外線消去の窓が真っ赤になって焼けました。 これ、今度やってみよう。 78xxで電源作ってますけど、これで容量的にはいけますかね? > > コンデンサは逆接続して、「ポン」と破裂させた事もあります。 > > 電解コンデンサをテーブルタップの先に取り付けそのまま > ACコンセントへ・・・ これですけど、AC100Vではうまくいかないかも。 私がやったときは、本体ではなくて足が破裂溶解しました。 http://www.exp.org/minibbs/minibbs.cgi で最近ネタになったので 試したのですが、24VDCを逆接続したらうまくいきました。 ペットボトルの中でやったので、後片づけも楽チン。 > 「バン」という音とともにできの悪いワイヤーストリッパーになりました。(^^; ネタ的には面白いけど、ニッパもったいないしなぁ…… |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
73 | Re: ICが破壊したり誤作動する理由 | コメント数: 0件 |
中村 | 2004/06/12 (土) 14:43 | |
みなさん貴重な意見をありがとうございました。非常に参考になりました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
72 | Re^4: ICが破壊したり誤作動する理由 | コメント数: 3件 |
薄幸 | 2004/06/12 (土) 12:29 | |
http://park10.wakwak.com/~de7k2sbh/ | ||
はじめまして、ちょくちょく覗かせてもらってます > > 実験といえば、一生に一度、電解を破裂させるのもやっいたほうがいいのですけどね。 > > ぼくは就職してすぐにやってみろと言われました。◎◎年前。 > > 遠い昔、実験で間違えてツエナーダイオードを負荷抵抗無しで駆動させてしまいました。 > 数秒間赤い光を放った後壊れました。(^^; やったことあるのはEP-ROMで電圧間違えたとか逆差しして本当に焼いたことがあります。(汗 紫外線消去の窓が真っ赤になって焼けました。 > コンデンサは逆接続して、「ポン」と破裂させた事もあります。 電解コンデンサをテーブルタップの先に取り付けそのままACコンセントへ・・・ あとは想像にお任せ致します。 #オフトピ?失礼しました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
71 | Re^3: ICが破壊したり誤作動する理由 | コメント数: 4件 |
軽石 | 2004/06/12 (土) 11:04 | |
>
実験といえば、一生に一度、電解を破裂させるのもやっいたほうがいいのですけどね。 > ぼくは就職してすぐにやってみろと言われました。◎◎年前。 遠い昔、実験で間違えてツエナーダイオードを負荷抵抗無しで駆動させてしまいました。 数秒間赤い光を放った後壊れました。(^^; コンデンサは逆接続して、「ポン」と破裂させた事もあります。 今回の件とは関係ないけれど隣で「爆発試験だ」と行ってた人が、通電状態で ACケーブルをニッパーで切断。「バン」という音とともにできの悪いワイヤーストリッパーになりました。(^^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
70 | Re^2: ICが破壊したり誤作動する理由 | コメント数: 5件 |
shirou | 2004/06/12 (土) 08:30 | |
実験といえば、一生に一度、電解を破裂させるのもやっいたほうがいいのですけどね。 ぼくは就職してすぐにやってみろと言われました。◎◎年前。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
69 | Re: ICが破壊したり誤作動する理由 | コメント数: 6件 |
shirou | 2004/06/12 (土) 08:27 | |
C-MOSだと割と多くの場合、ICよりLEDのほうが壊れると思いますよ。 チョット実験してみてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
68 | Re: ICが破壊したり誤作動する理由 | コメント数: 0件 |
タンタン | 2004/06/12 (土) 08:16 | |
タンタンです。 > すみませんが、どなたか、LEDをICの出力端子に直接接続させたとき、場合によってはICが破損したり、誤作動したりする理由を教えてください。お願いします。 軽石さんが答えていますので、マイクロチップのPICマイコンに限定します。 PICでは、I/OポートにBSF,BCF命令を使用すると誤動作することがあります。 これらの命令では、対象のポート(8ビットなら8ビット全部)を一旦入力し、 該当するビットを0/1に設定した後に、ポートに出力します。 対象外の端子は、元のままになるはずです。 ところが、端子にLEDを直接接続した時には、LEDのオンオフに関係なく 入力時に0とみなされる事があります。 その場合、LED以外の端子に上記命令を使用しても、LEDの端子には0が 出力されるためLEDがオフします。 上記命令を連続して実行する場合も誤動作の恐れがあります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
67 | Re: ICが破壊したり誤作動する理由 | コメント数: 0件 |
軽石 | 2004/06/12 (土) 01:32 | |
>
すみませんが、どなたか、LEDをICの出力端子に直接接続させたとき、場合によってはICが破損したり、誤作動したりする理由を教えてください。お願いします。 ICは出力端子に電流が流れすぎるか、電源電圧より高い入力電圧が加わったときに壊れます。 ICは入力が不定条件やオープン状態になった時に誤動作になります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
66 | ICが破壊したり誤作動する理由 | コメント数: 10件 |
中村 | 2004/06/12 (土) 00:18 | |
すみませんが、どなたか、LEDをICの出力端子に直接接続させたとき、場合によってはICが破損したり、誤作動したりする理由を教えてください。お願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
65 | Re^10: BYTEBLASTERUケーブル動かない! | コメント数: 0件 |
GO | 2004/06/10 (木) 23:29 | |
GOです。。。皆さんのおかげで、自作CYCLONEの FPGAとROMの両方の、書きこみに一応成功しました。 長く、ASモードでサイクロンに書きこめない原因は: 1. 自作BYTEBLASTERU基板の配線に、勘違いがあったようです。 今回、240PINのFPGAの基板半田つけからすべて、自作でやっ たので、絞込みが大変でした。。でも、完成しました。 2. 次は、33MHZーPCIボードです。。東北学院大学の熊谷研究室 のボードの、猿真似から始めたいと思います。 3. これからゆっくり、なぜ、BYTEBLASTERU回路を勘違いしたのかを 調べます。。 4. 過熱してしまったROMは、生きていました。結構つよいものですね。。 それより、CYCLONE‐FPGAのほうが弱いみたいです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
64 | Re^3: NP1005 の LED | コメント数: 0件 |
かみき | 2004/06/09 (水) 22:14 | |
ありがとうございました。 > 問題はないかと思うのですが、 > その他に、立ち上がり波形のタイミングもありそうです。 なるほどっつーか、さりげなく奥が深い ... > この点と、各種のFPGAコンフィグオプションについて > 近々ドキュメントにまとめます。 こちらも期待しております。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
63 | Re^2: シリアルーパラレル変換 | コメント数: 0件 |
RYO | 2004/06/09 (水) 18:31 | |
なひたふさん有難う御座います! 大分気が楽になりました。 今FPGA関係のHPを歩き回っていますがソフト的な解説ばかりでハード的な事があまり説明されていないように感じてます。 みなさんは、やはりメーカーの資料(英文)を読んで勉強されてるのでしょうか? またなにか解らない事があった時は相談させて下さい。 宜しくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
62 | Re: Multiplexer_IC探しています | コメント数: 0件 |
shirou | a-u2004@hdl.co.jp | 2004/06/09 (水) 16:03 | |
> ご存知のとおりDONEはオープンドレインですので、 > LEDを入れると、どうしても階段状の立ち上がり波形になってしまい、 > コンフィグ終了後にDONEが1.7V程度、1μ秒程度静止します。 > この時、DONEの電圧は0.8V〜2.0Vの間であり、良い状態ではありません。 僕のほうでは、DONEを抵抗でプルアップしておき、DONE完了後にそのプルアップ抵抗で点灯させるようにしています。(シリーズ抵抗もいれてある)。 波形の立ち上がりには問題ないと思います。 > しかしながらコンフィグは既に終了しており、 > 万が一、ROM内のアドレスカウンタが変な値になったとしても、 > その後、数μ秒後にはHになり、リセットされるかと思います。 そうですね、問題なさそうに思います。 抵抗とLEDでプルアップした波形は実測したことがないですが、階段状になるとするとちょと気持ち悪いかもしれません。 > この点と、各種のFPGAコンフィグオプションについて > 近々ドキュメントにまとめます。 ぼくも含めて皆さんの参考になると思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
61 | Re^2: Multiplexer_IC探しています | コメント数: 2件 |
なひたふ | 2004/06/09 (水) 13:30 | |
いつもお世話になります。 > shirouです。 > CONF-DONEの表示にLEDは便利です。電流をへらせばなんの心配もないのだと思います。 > いかがでしょう。 問題はないかと思うのですが、 その他に、立ち上がり波形のタイミングもありそうです。 ご存知のとおりDONEはオープンドレインですので、 LEDを入れると、どうしても階段状の立ち上がり波形になってしまい、 コンフィグ終了後にDONEが1.7V程度、1μ秒程度静止します。 この時、DONEの電圧は0.8V〜2.0Vの間であり、良い状態ではありません。 しかしながらコンフィグは既に終了しており、 万が一、ROM内のアドレスカウンタが変な値になったとしても、 その後、数μ秒後にはHになり、リセットされるかと思います。 LEDの直列抵抗を大きくすれば、この段差の時間は減ります。 また、FPGAのDrive DONEオプションをイネーブルにすれば DONEの電圧に段差は生じず、一瞬で立ち上がるようになります。 この点と、各種のFPGAコンフィグオプションについて 近々ドキュメントにまとめます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
60 | Re: Multiplexer_IC探しています | コメント数: 3件 |
shirou | a-u2004@hdl.co.jp | 2004/06/09 (水) 13:07 | |
> 電流に関しては問題はないかと思いますが、 > LEDの直接ドライブに関しては確かに推奨ではないため、 > 次回以降の設計では直接ドライブを行わないようにします。 shirouです。 CONF-DONEの表示にLEDは便利です。電流をへらせばなんの心配もないのだと思います。 いかがでしょう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
59 | Re: NP1005 の LED | コメント数: 4件 |
なひたふ | 2004/06/09 (水) 10:28 | |
おはようございます > Customer Advisory CA2003-07 > には「FPGA の DONE で XCF と LED を同時に駆動するな」とありますが、 > NP1005 では駆動電流の規定を満たしてたりするのでしょうか。 CA2003-07のケースは、DONEがHになるとLEDが点灯する 回路を想定しているものと思われます。 NP1005はDONEがHになると消灯する仕組みです。 CA2003-07では、DONEピンのシンク電流は12mAを 超えてはいけないと書かれています。 NP1005のLEDは、VCCからLEDと220Ωの抵抗に直列に入り、 DONEピンに流れ込む構造になっています。実測値で5.4mAです。 また、FPGAとROMの電源電圧を3.3Vを中心に 2.4V〜4.2Vまで変化させ、コンフィグをのべ100回行ったところ、 すべて成功しました。 電流に関しては問題はないかと思いますが、 LEDの直接ドライブに関しては確かに推奨ではないため、 次回以降の設計では直接ドライブを行わないようにします。 ご指摘ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
58 | NP1005 の LED | コメント数: 5件 |
かみき | 2004/06/09 (水) 00:09 | |
こんばんは。 Customer Advisory CA2003-07 http://www.xilinx.com/bvdocs/notifications/advisory2003-07.pdf には「FPGA の DONE で XCF と LED を同時に駆動するな」とありますが、 NP1005 では駆動電流の規定を満たしてたりするのでしょうか。 今見付けてちょっと気になったので ... |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
57 | Re: シリアルーパラレル変換 | コメント数: 1件 |
なひたふ | 2004/06/08 (火) 21:30 | |
はじめまして > この度FPGAにて8MHzのシリアル信号(16bit)をパラレル変換をする事になったのですが、 > この場合FPGAのクロックは幾ら以上あれば良いのでしょうか? その信号がFPGAのクロックに同期している場合であれば、 (つまりシリアル信号を作る側と受ける側が同期している場合) FPGAの周波数は8MHzか、またはその整数倍が必要です。 RS232Cのように、他の回路のクロックで作り出した信号であれば、 (つまりシリアル信号を作る側と受ける側が独立のクロックである場合) その信号速度の4倍とか、16倍の信号で受けます。 > 何処かの資料にて信号の4倍の周波数は必要と読んだ気がするのですが、 > 何処の資料だったかまた本当にそう書いてあったのかが思い出せず困っています。 4という数字には特に意味はないのでしょうが、 1つのビットを多数回サンプリングしたとき、 通常はどれも同じデータが得らるはずですが、 ノイズなどの影響で誤ったビットが読み取られることがあります。 多数回読んでおけばそれを多数決で補正することができます。 > また本当にFPGAにて8MHzのデータを変換する事は可能なのでしょうか? FPGAでは余裕でしょう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
56 | シリアルーパラレル変換 | コメント数: 2件 |
RYO | 2004/06/08 (火) 20:53 | |
初めましてRYOと申します。 この度FPGAにて8MHzのシリアル信号(16bit)をパラレル変換をする事になったのですが、 この場合FPGAのクロックは幾ら以上あれば良いのでしょうか? 何処かの資料にて信号の4倍の周波数は必要と読んだ気がするのですが、 何処の資料だったかまた本当にそう書いてあったのかが思い出せず困っています。 また本当にFPGAにて8MHzのデータを変換する事は可能なのでしょうか? 今回始めてFPGAを触るのでとても不安です。 #素子はALTERA社のFLEX10K20TC144-4です。 宜しくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
55 | Re^4: ICカードリーダーについてのお尋ね | コメント数: 0件 |
あしながをぢさん | 2004/06/08 (火) 13:05 | |
> こんにちは > > > ますが、入手方法がわかりません。秋葉原辺りだと或いは見かける > > かもしれませんが、私は福岡市在住なので(._.)。 > > そのような機器は一般ユーザ向けではないので、 > まず流通に乗っていないでしょう。 > つまり、買いたいときにいつでも買える製品ではないと思います。 > こんにちは。 この手のリーダーやライターに関する情報は極秘のはずです。 このようなカードは金券と等価ですから、一歩間違えると 偽造・改変等によって犯罪に応用されてしまいます。世の中に 多くの偽造・変造カードが流通しているのはこのような技術情報が 流出するからに他なりません。 技術的興味としてはおもしろいのかもしれませんが、業務で解析 しなければならないならダイコクと正式に契約するべきですし、 趣味なら公開の場で議論するべきではないと思います。 老婆心ながら。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
54 | Re^3: ICカードリーダーについてのお尋ね | コメント数: 1件 |
なひたふ | 2004/06/08 (火) 12:38 | |
こんにちは > ますが、入手方法がわかりません。秋葉原辺りだと或いは見かける > かもしれませんが、私は福岡市在住なので(._.)。 そのような機器は一般ユーザ向けではないので、 まず流通に乗っていないでしょう。 つまり、買いたいときにいつでも買える製品ではないと思います。 残念ながら、秋葉原にはあまり期待しないほうがいいでしょう。 確かに秋葉原ではレアなものがたまに置いてあります。 しかしそういうケースは、そういうものを100個くらい 抱えて処分に困っている卸業者が 秋葉原の界隈に店に売ってもらうというケースだと思います。 実際のところはどうかはわかりませんが、 いくら消費者が望んでも、入荷はしてくれないと思います。 オークションで地道に探すなどの方法がよいと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
53 | Re^2: ICカードリーダーについてのお尋ね | コメント数: 2件 |
あるまじろ | 2004/06/08 (火) 12:15 | |
> > 初めてお便りいたします。1年以上前中国で作られ、日本の > > 「ダイコク電機」というブランドから、パチンコの景品として > > ふつう一番初めにメーカーに聞くだろな > ttp://www.daikoku.co.jp/ 最初のメールに書いたように、ダイコク電機に問い合わせたら公表して いないとのことで、こうして皆さんにお尋ねしている訳ですが(^_^;)ゞ どなたかお知恵を拝借できませんでしょうかねえ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
52 | Re: コルピッツ発振回路の電源電圧特性 | コメント数: 0件 |
個人研究者 | 2004/06/08 (火) 12:04 | |
こんにちは、BBJさん。 トランジスタの場合、通常コレクタ−ベース間が逆バイアスになるのでPN接合の静電容量が電圧で変化します。下記のサイトで結構詳しく説明されています。 http://nt.hakodate-ct.ac.jp/~takahasi/text/capacitance.pdf 要は、逆バイアスのときに空乏層がコンデンサの絶縁物と同じように作用するので、電圧が高いほど空乏層の幅が増えてコンデンサの電極間距離が増えたのと同じような効果があるということですね。 よって電源電圧を下げると静電容量は増えるはずです。 確かコルピッツ型はベース・コレクタ間のリアクタンスが誘導性だったと思うのですが、それがなぜB-C間の静電容量が増えることで発信周波数が上がるのかは回路図を見なければわかりません。(普通は下がるような気がする。) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
51 | Re: ICカードリーダーについてのお尋ね | コメント数: 3件 |
なげ | 2004/06/07 (月) 23:56 | |
> 初めてお便りいたします。1年以上前中国で作られ、日本の > 「ダイコク電機」というブランドから、パチンコの景品として ふつう一番初めにメーカーに聞くだろな ttp://www.daikoku.co.jp/ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
50 | コルピッツ発振回路の電源電圧特性 | コメント数: 1件 |
BBJ | 2004/06/07 (月) 22:41 | |
トランジスタを用いたコルピッツ発振回路の電源電圧特性について質問です。 電源電圧が下がるとトランジスタ内部の接合容量の変化で、発振周波数が上がるということを言われたのですが、いまいちよくわかりません。 誰か分かる方、いらっしゃいませんか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
49 | ICカードリーダーについてのお尋ね | コメント数: 4件 |
あるまじろ | 2004/06/07 (月) 19:50 | |
初めてお便りいたします。1年以上前中国で作られ、日本の 「ダイコク電機」というブランドから、パチンコの景品として ホールに出回った「分析ロボ1号」というICカードに対応する カードリーダーを捜していますが、本体や取り扱説明書に規格 が表示されていない(ダイコク電機も公表していない)ので お手上げです(T_T)。 昔の中国製ということで、ひょっとしてIEEE規格では?と思い ますが、入手方法がわかりません。秋葉原辺りだと或いは見かける かもしれませんが、私は福岡市在住なので(._.)。 誠にお世話様ですが、この件につきまして どなたかお知恵を拝借できませんでしょうかm(__)m。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
48 | Re^9: BYTEBLASTERUケーブル動かない! | コメント数: 1件 |
GO | 2004/06/07 (月) 05:20 | |
軽石さん、ありがとうございます。GOです。 ASモードでの書き込みについては、以下のサイトを 探しました。どうも日本語マニュアル入手はあきらめました。 報告: 1.コンフィグ条件として、STATUSがGOは10Kで未プルアップ なのでためします。。(加熱EPCS1は生きているようです。。) http://www.altera.co.jp/literature/lit-config.jsp 2.サイクロンのコンフィグ条件がかいてありました。 http://www.altera.co.jp/literature/hb/cfg/cyc_c51013.pdf 3.QUARTUS Uの入門書(コンフィグはかいてない?) http://www.altera.com/literature/manual/intro_to_quartus2.pdf 4.あきらめず、じっくりやります。。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
47 | Re^8: BYTEBLASTERUケーブル動かない! | コメント数: 2件 |
軽石(講師?) | 2004/06/07 (月) 01:27 | |
> 5. > よく考えたら、QUARTUSUのマニュアルなど入手してお > らず、当て推量でやってます。。どこかにQUARTUSUの > 日本語マニュアルはありますか? アルティマでユーザー登録していると日本語マニュアルをダウンロードできるみたいです。 (メールでお知らせが来ました) 一般のユーザー(フリー版のみ)の場合ダウンロードできるかわかりませんが問い合わせしてみてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
46 | Re^7: BYTEBLASTERUケーブル動かない! | コメント数: 3件 |
GO | 2004/06/06 (日) 23:20 | |
GOです。。どうもALTERAもROM起動は難しいですね。 報告: 1. たまたま、DW−10月号の基板用に買ってあったもう ひとつの正常のEPCS1をつけても、以下の表示が出て、 全くかきこめませんでした。 can't recongnize silicon ID for DEvice 1. 2. FPGAの各辺の3.3Vto1.5Vには0.1UFのパスコンを 入れております。 3. また、AS-portのASDOとnCSとDCLKには10Kでプルアップ 抵抗を入れてます。。 4. よく考えてみたら、QUARTUSU−VER4でのASモードでの 書きこみは初めてです。。あて推量で、SOF−FILEから コンバートしてEPCS1用のFILEを作ってます。しかも 使用率は1%以下なのに圧縮しないEPCS1に書きこめない という表示がでるので、本質的にコンフィグ用のFILEが できていないのにやっこんでいるかもしれません。 5. よく考えたら、QUARTUSUのマニュアルなど入手してお らず、当て推量でやってます。。どこかにQUARTUSUの 日本語マニュアルはありますか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
45 | Re^6: BYTEBLASTERUケーブル動かない! | コメント数: 4件 |
shirou | 2004/06/06 (日) 22:30 | |
> ゼリー接着剤のためにROMの表示がかすれ、EPCS1をPIN間違いを > して、小時間過熱させてしまいました。現在、このためか? > ROMに書きこみができません。。 熱くなったのなら、心配ですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
44 | Re^5: BYTEBLASTERUケーブル動かない! | コメント数: 5件 |
GO | 2004/06/06 (日) 21:25 | |
GOです。。 たいへん、うっかりしてました。貴重な助言を頂いている shirouさんは<a-u2004@hdl.co.jp> の方でしたね。。。 スミマセン。。。貧乏人GOが、失礼なことを申しあげました。 また、貴社HUMAN製品を買わせていただきます。。 (昔、XSP-005B等でお世話になりました。。。^_^;) 報告: ゼリー接着剤のためにROMの表示がかすれ、EPCS1をPIN間違いを して、小時間過熱させてしまいました。現在、このためか? ROMに書きこみができません。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
43 | Re^4: BYTEBLASTERUケーブル動かない! | コメント数: 6件 |
GO | 2004/06/06 (日) 17:46 | |
shirouさん、ありがとう!。。成功しました。 報告: 1. 以下の回路で10PINを7PINにつないだら、MVのほうは、 まず書きこめました。 2. すぐ、以下の回路で10PINを6PINにつないで、M2のほうを やってみます。 http://www.hdl.co.jp/tp2/index.html 3. > この回路では、ASモードでROMに書き込んだあと、ケーブルを抜かないと > FPGAが起動しません。 GOはROMに書きこめれば、普通書きこみ器をぬいているので、それで満足 しております。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
42 | Re^3: BYTEBLASTERUケーブル動かない! | コメント数: 7件 |
shirou | 2004/06/06 (日) 09:27 | |
>
一応、認識操作はいたしました。また、ケーブル未装着警告はでません。。 いえ、ByteBlasterMVとか、ByteBlasterIIとか表示されるはずなんです。 この回路で、 http://www.hdl.co.jp/tp2/index.html 10番と7番がつながっていればByteBlasterMVと、 10番と6番がつながっていれば、ByteBlasterIIと認識されると思います。 この回路では、ASモードでROMに書き込んだあと、ケーブルを抜かないと FPGAが起動しません。1Gと2Gをうまく制御すれば良いと思うのですが。 あきらめて、BL3やBLKITのようになりました。 (切り替え方式はそれはそれで良かったと思っています) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
41 | Re^2: BYTEBLASTERUケーブル動かない! | コメント数: 8件 |
GO | 2004/06/06 (日) 00:39 | |
shirouさん、ありがとう! 実は、2回路とも点検したのですが、実力不足で、原因がわかりません。 1. > それより、下記でもちゃんとROMに書けますよ。 簡単そうなので、早速作ってみます。 2. > Quartusのケーブルセットアップだったかな、それでケーブルをちゃんと認識 > していますか? 一応、認識操作はいたしました。また、ケーブル未装着警告はでません。。 3. HUMAN-DATA社さんには回路図でお世話になってますが、だいぶ?買ってきたし 、ちょっと市販品を買う気はないです ^_^; 。。。。たびたび、ありがとう ございます。。これで6700円浮くかも??? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
40 | Re: BYTEBLASTERUケーブル動かない! | コメント数: 9件 |
shirou | 2004/06/06 (日) 00:16 | |
BLKITやBL3のほうから来ました。 > BL3とBLKITでは回路図がちがいます、これはどうしてでしょうか? BL3の > Xは部分は、全部、くっつけるのでしょうか? 自分では同じだと思っているのですが、、、 それより、下記でもちゃんとROMに書けますよ。 http://www.hdl.co.jp/tp2/index.html Quartusのケーブルセットアップだったかな、それでケーブルをちゃんと認識 していますか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
39 | BYTEBLASTERUケーブル動かない! | コメント数: 10件 |
GO | 2004/06/05 (土) 23:38 | |
GOです。よろしくお願いします。 3日がかりで、HUMAN-DATA社さんのBYTEBLASTERUの回路基板 を2枚、作ってみましたが両方ともBMモードがまず、うごきま せん。どうしてでしょうか?(B2モードはまだしてないです) 実験報告: 1. ALTERA社が回路図を発表してない?ので、HUMAN−DATA社さんの BL3とBLKIT回路図をそっくり参考にBYTEBLASTER基板を製作しました。 2. まず、BLKITの方を製作し、BMのほうで書き込みをしましたができ ません。(STRAWBRRY-LINUX-SITE製の書き込み器ではすぐできました) 3. NETで検索し、同じく、HUMAN-DATA社さんのBL3の回路図で、やってみても MVモードで書き込みができません。。 4. 両回路図とも、ちょっとめずらしい書き方なのでGOが見落とした配線が万一 あるかもしれません。。なお、TRには2SC1815、4.7Kを2つ使いました。 5. Y4のLEDはちゃんと点灯しているし、HC244にも3.3V、0Vはちゃんと きてます。 6. BL3とBLKITでは回路図がちがいます、これはどうしてでしょうか? BL3の Xは部分は、全部、くっつけるのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
38 | Re^2: 医用工学について | コメント数: 0件 |
軽石(講師?) | 2004/06/05 (土) 10:37 | |
校長先生は指導するだけで直接教える事はないかもしれません。(^^; まずは近くの書店(出来るだけ大きく工学系の書籍がある所)に行ってどんな本があるか見て見ましょう。 近くに本屋がないのであれば通販でこれなんかいかがでしょうか? http://www.amazon.co.jp/exec/obidos/ASIN/4789832848/249-5015866-9634741 基本のLPF、HPFフィルターについてはあちこちのWebで解説してあるのでそちらで予習して 理解できない点を簡潔にまとめて質問しましょう。 この二つを理解できない状態ではHUMフィルターは理解できないと思われます。 予習結果報告をお待ちしております。(レポート提出待っています) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
37 | Re^2: 医用工学について | コメント数: 0件 |
Nonta | 2004/06/05 (土) 09:38 | |
>
心電計のJIS規格について教えて下さい。 ここにあるから,64件の中からチェックして必要なものを購入するか,ガッコの図書館で見たら井伊でしょう. http://www.webstore.jsa.or.jp/webstore/JIS/FlowControl.jsp?lang=jp&;bumon=T&bunrui=T10&viewid=JIS/html/jp/CartegoryList.htm.Group > あと、LPF,HPF,HUMフィルタについても教えて下さい。 とりあえず,教えるのが専門の校長先生↓に訊いてみましょう. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
36 | Re: 医用工学について | コメント数: 2件 |
校長先生 | 2004/06/04 (金) 23:47 | |
> 心電計のJIS規格について教えて下さい。 > あと、LPF,HPF,HUMフィルタについても教えて下さい。 いっぱい教えてほしんですねえ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
35 | 医用工学について | コメント数: 3件 |
G | ichijikunoki@yahoo.co.jp | 2004/06/04 (金) 23:01 | |
心電計のJIS規格について教えて下さい。 あと、LPF,HPF,HUMフィルタについても教えて下さい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
34 | Re: FPGA消費電力測定 | コメント数: 2件 |
軽石 | 2004/06/04 (金) 22:07 | |
>
FPGAのみ載ったボードを作成し、消費電力を測定しようとしているのですが、 > ロジックで消費される電力のみ測定することは可能でしょうか。 > I/Oは別電源なのでI/Oで消費される電力がまぎれることはないように思えますが。 誤差やばらつきをどの程度見るかによりますが、VCCINTに流れる電流を測定する事で 今考えている事は可能です。 NP1003であれば2.5Vレギュレーターの出力直後に電流計を取り付ければよいですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
33 | FPGA消費電力測定 | コメント数: 3件 |
けすけ | 2004/06/04 (金) 19:46 | |
FPGAのみ載ったボードを作成し、消費電力を測定しようとしているのですが、 ロジックで消費される電力のみ測定することは可能でしょうか。 I/Oは別電源なのでI/Oで消費される電力がまぎれることはないように思えますが。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
32 | NP1003E販売開始とUSB-JTAG化の情報 | コメント数: 0件 |
なひたふ | 2004/06/03 (木) 23:56 | |
お待たせしました!! 本日、NP1003シリーズの最新版、NP1003Eの販売を開始しました。 http://www.nahitech.com/nahitafu/np1003hp/np1003-intro.html NP1003シリーズはただのFPGA評価ボードではなく、 有益なアプリケーションが付属した 価値あるFPGAボードであることを目指しています。 今までにNP1003をお買い上げいただいた方も、 これからお買い求めになる方にも、 NP1003を末永く有効活用していただくため、 NP1003を高速USB-JTAGケーブル化するための情報を公開しました。 http://www.nahitech.com/nahitafu/np1003hp/nusb2.html このアプリケーションは、初代NP1003(無印)でも動作します。 MITOUJTAGとNP1003を用いると、ノートPCなどのモバイル環境でも 手軽で快速なJTAG環境が実現できるようになります。 もちろん、JTAGケーブル化は無料で行うことができます。 どうぞご利用ください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
31 | Re^5: FPGAの独習(ツール+Verilog)方法について | コメント数: 0件 |
軽石 | 2004/06/03 (木) 00:33 | |
> 軽石様 > > デザインウェーブの8月増刊号 「FPGA/PLD設計スタートアップ」でした。 > > 有難う御座いました。 > アマゾンに注文致しました。 > とにかく、チャレンジしてみます。 実機用の部品は前回お知らせしたwebで入手すればEPM7128や10万ゲート相当のアルテラがのった基板、デバイスが格安で入手できますのでぜひトライしてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
30 | Re^18: フォトダイオードの件 | コメント数: 0件 |
Nonta | 2004/06/02 (水) 23:13 | |
> http://www.kawakawa.net/cgi/minibbs.cgi?log=log1 > は入れないみたいなのですが閉鎖されているのでしょうか? プロクシ規制中ですから,プロクシを通さなければ入れます. 入射光量が何[lx]かわからないと設計できないでしょう. また,温度条件がわからないとOPアンプの選定は難しいと思います. 以前紹介したTIの「サルでもわかるオペアンプ」を読み, 川田先生の連載に目を通せば,訊かなくてもわかるとは思いますが, 書き込む前に川田先生の連載を読んでおくのが必須条件ですよ. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
29 | Re^17: フォトダイオードの件 | コメント数: 1件 |
モウモウ | 2004/06/02 (水) 22:26 | |
こんばんは。 ありがとうございます。 フルスケールは10Vです。 ADの幅が10Vで、信号処理のほうは別の大学が行うので、 分解能と幅が知らされているのみです。 サンプリングが100Hzなので,カットオフ周波数50HzのLPFを作っています。 http://www.kawakawa.net/cgi/minibbs.cgi?log=log1 は入れないみたいなのですが閉鎖されているのでしょうか? なひたふ新聞のほうで、バイアス電流が小さい物を選ぶと書いてあったので、 FET入力のものを選定したのですが、 選んだのがどれも廃止でないみたいです。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
28 | Re^4: FPGAの独習(ツール+Verilog)方法について | コメント数: 1件 |
みかりん | zank015@ybb.ne.jp | 2004/06/02 (水) 21:04 | |
軽石様 > デザインウェーブの8月増刊号 「FPGA/PLD設計スタートアップ」でした。 有難う御座いました。 アマゾンに注文致しました。 とにかく、チャレンジしてみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
27 | Re^16: フォトダイオードの件 | コメント数: 2件 |
Nonta | 2004/06/02 (水) 19:19 | |
モウモウさん 設計で必要なのは,下記の2つです. @ OPアンプ出力がフルスケール(?V)になるときの最大入射光量 A 高度変化による太陽光の変化スピード @で抵抗値が決まり,Aで逆バイアスが必要かどうかと ノイズを低減するためのフィルタ特性がわかります. ところで,OPアンプ出力はA/D変換とかしないんでしょうか? そーゆーシステム構成と,上記2項目が決まったら, 現在,トラ技でOPアンプの連載をしている川田先生↓に http://www.f3.dion.ne.jp/~a-kawata/research/recent.html BBS↓で最適OPアンプの選択法も含めて相談すると井伊鴨. http://www.kawakawa.net/cgi/minibbs.cgi?log=log1 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
26 | Re^12: AMラジオの選局について | コメント数: 0件 |
個人研究者 | 2004/06/02 (水) 12:01 | |
こんにちは、かみきさん。 蛇足レスありがとうございます。^^ > 直流増幅率は 1 にみえますが、100Hz 以上でほぼ 2 あります。 失礼しました。^^; エミッタ側のコンデンサが全く目に入ってなくて、抵抗だけ見てました。 それにしても。。。47μ?! 47μFだと100Hzまで行かなくてもかなりインピーダンスが低いような気がします。 歪んでないでしょうか・・・。 まあ、低周波側は取りあえず音が出てるので、やっぱり電界強度の問題がさきでしょうね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
25 | Re^15: フォトダイオードの件 | コメント数: 3件 |
モウモウ | 2004/06/01 (火) 16:52 | |
観測装置はロケットに載せ、 太陽光を高度変化によって検出するものです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
24 | Re^14: フォトダイオードの件 | コメント数: 4件 |
モウモウ | 2004/06/01 (火) 16:50 | |
ありがとうございます。 > 特性を犠牲にして帰還抵抗を大きくするよりも、 > 感度の高いダイオードを探すか、 > パルス変調をかけたり、最後にはフォトマルを使うなど、 > 実験方法そのものの見直しが必要かもしれませんね。 観測装置は、干渉フィルタを使い特定波長をとりだし、レンズで集光し、 フォトダイオードで受光する仕組みです。 観測波長は290nm、420nmの二つで、幅10nm程度に絞っています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
23 | Re^2: 新製品の予告(USB-JTAGとNP1003E) | コメント数: 0件 |
なひたふ | 2004/06/01 (火) 14:46 | |
たっくさん、お世話になります。 ご返答有難うございます。 > なひたふさん。いつもお世話になっております。NP1003Eが待ち遠しいのですが、注文の受付はいつ頃になるのでしょう? まもなく部品が到着します。その後、検査を行い、 明日の夜には販売を開始できるかと思います。 USB-JTAGも同じ頃に発表します。 よろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
22 | Re^4: (XST VHDL推論記述にて) ROMをブロックRAMで実装する方法 | コメント数: 0件 |
電車 | 2004/06/01 (火) 14:31 | |
なひたふ様 > signal ROM > ではなく、 > constant ROM > にしてください。 確かにBRAMとして合成できました. 感謝感激でございます. どうもありがとうございました. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
21 | Re^3: (XST VHDL推論記述にて) ROMをブロックRAMで実装する方法 | コメント数: 1件 |
なひたふ | 2004/06/01 (火) 14:24 | |
こんにちは > signal ROM : rom_type :=( > "10010010", > "01010001", ここを signal ROM ではなく、 constant ROM にしてください。 すると、読み込み専用ブロックRAMが生成されます。 INFO:Xst:1650 - The register is removed and the ROM is implemented as read-only block RAM. Logic Distribution: Number of Slices containing only related logic: 0 out of 0 0% Number of Slices containing unrelated logic: 0 out of 0 0% *See NOTES below for an explanation of the effects of unrelated logic Number of bonded IOBs: 17 out of 86 19% Number of Block RAMs: 1 out of 4 25% Number of GCLKs: 1 out of 4 25% Number of GCLKIOBs: 1 out of 4 25% |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
20 | Re^2: (XST VHDL推論記述にて) ROMをブロックRAMで実装する方法 | コメント数: 2件 |
電車 | 2004/06/01 (火) 13:48 | |
> 解決策はもう少々お待ち下さい。 なひたふ様 どうもです. 先のVHDL例はアドレスが16ビットと大き過ぎなので 11ビットアドレスに変更して同じ質問とします. 合成結果(ISE6.2)は次のようになります. ---合成結果(11-bitアドレス 8-bit幅のROM) Selected Device : 2vp70ff1517-5 Number of Slices: 1235 out of 33088 3% Number of Slice Flip Flops: 8 out of 66176 0% Number of 4 input LUTs: 102 out of 66176 0% Number of bonded IOBs: 19 out of 964 1% Number of GCLKs: 1 out of 16 6% ---VHDLソース library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity rom_11_8 is port ( clk : in std_logic; z : out std_logic_vector(7 downto 0); adr : in std_logic_vector(10 downto 0)); end main; architecture RTL of main is type rom_type is array (0 to 2047) of std_logic_vector (7 downto 0); signal ROM : rom_type :=( "10010010", "01010001", ...省略... "10111001" ); begin process(clk) begin if (clk'event and clk = '1') then z <= ROM(CONV_INTEGER(adr)); end if; end process; end RTL |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
19 | Re^13: フォトダイオードの件 | コメント数: 5件 |
なひたふ | 2004/06/01 (火) 13:30 | |
こんにちは > 浜ホトのプリアンプ付の製品ですと、10GΩとなっていたのですが、 > ギガとってもいいものなのでしょうか? 実装技術に自信があれば構わないでしょう。 そういう難しい部分を全部パッケージ内に納めたデバイスとして プリアンプ付きの製品が売られているわけです。 > 大きい帰還抵抗ですとオフセット電圧も大きくなってしまう気がするのですが。 データシートをよく読みましょう。 暗状態で±2mVのオフセットがあると書いてあります。 そういうオフセットまでを保証した部品なわけです。 しかも、プリアンプ内蔵型は出力は電流ではなく電圧です。 > センサの受光する前に干渉フィルタなどを用いる為、光が入光するまでに微弱になってしまうので、帰還抵抗を大きくしなくてはならないです。 特性を犠牲にして帰還抵抗を大きくするよりも、 感度の高いダイオードを探すか、 パルス変調をかけたり、最後にはフォトマルを使うなど、 実験方法そのものの見直しが必要かもしれませんね。 どのような実験装置を組み立て、 どのような実験をしようとしているのかお聞かせください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
18 | Re^12: フォトダイオードの件 | コメント数: 6件 |
モウモウ | 2004/06/01 (火) 12:53 | |
ご返答ありがとうございます。 > そんなシビアな場所を引き伸ばす設計をしてはいけません。 > 半固定抵抗ではなく、せめて倍率を切り替えるスイッチにしてください。 帰還抵抗の値なのですが、 浜ホトのプリアンプ付の製品ですと、10GΩとなっていたのですが、 ギガとってもいいものなのでしょうか? 大きい帰還抵抗ですとオフセット電圧も大きくなってしまう気がするのですが。 センサの受光する前に干渉フィルタなどを用いる為、光が入光するまでに微弱になってしまうので、帰還抵抗を大きくしなくてはならないです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
17 | Re^11: フォトダイオードの件 | コメント数: 7件 |
なひたふ | 2004/06/01 (火) 12:38 | |
> 帰還抵抗を変化させるとえられる電圧値が変化すると思うのですが、 > I-Vの帰還抵抗を変化させて、目的の電圧値に設定する方法でもいいのでしょうか? > (先ほどの回路で反転増幅回路をはずす) そんなシビアな場所を引き伸ばす設計をしてはいけません。 半固定抵抗ではなく、せめて倍率を切り替えるスイッチにしてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
16 | Re: (XST VHDL推論記述にて) ROMをブロックRAMで実装する方法 | コメント数: 3件 |
なひたふ | 2004/06/01 (火) 12:35 | |
こんにちは > FPGA Expressでは下記のように記述すると > (アドレス11bit以上で)ROMをブロックRAMを使って合成してくれるらしいのですが > XST(ISE6.2)では全くだめで, sliceだけでROMを実装してしまいます. 実際にやってみました(6.1のXSTです)。 こんなWarningが出ていますね。 XSTも本当はブロックRAMを割り当てたいのだけれども割り当てられない 理由がありそうです。 INFO:Xst:1435 - HDL ADVISOR - Unable to extract a block RAM for signal <ROM>. The read/write synchronization appears to be READ_FIRST and is not available for the selected family. A distributed RAM will usually be created instead. To take advantage of block RAM resources, you may want to revisit your RAM synchronization or check available device families. 解決策はもう少々お待ち下さい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
15 | Re^10: フォトダイオードの件 | コメント数: 8件 |
モウモウ | 2004/06/01 (火) 12:26 | |
なひたふ様、 ありがとうございます。 > I-V変換は増幅ではありません。 > フォトダイオードはもともと電流を出力するデバイスです。 > (光量に応じて単位時間あたりの電子生成数が変化する) > それをいかに電圧として取り出すかという問題です。 帰還抵抗を変化させるとえられる電圧値が変化すると思うのですが、 I-Vの帰還抵抗を変化させて、目的の電圧値に設定する方法でもいいのでしょうか? (先ほどの回路で反転増幅回路をはずす) 温度のほうはやってみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
14 | Re: 新製品の予告(USB-JTAGとNP1003E) | コメント数: 1件 |
たっく | 2004/06/01 (火) 12:25 | |
http://www.sugawara-systems.com/ | ||
なひたふさん。いつもお世話になっております。NP1003Eが待ち遠しいのですが、注文の受付はいつ頃になるのでしょう? たっく(菅原システムズ) > ノートPCでFPGAのコンフィグができたらいいなと思いませんか? > どこでも電源いらずで使えるUSB-JTAGケーブルを開発中です。 > > 従来公開していたシンプルなJTAG-USBケーブルは > (http://www.nahitech.com/jtag/nusb/nusb.html) > パラレルポートの約10分の1の速度しか出ませんでした。 > > 現在開発している高速版は本当に速く、 > コンフィグROMの書き込みでは、パラレルポート以上の速度が出ます。 > FPGAの開発がぐっと楽になるでしょう。 > > また、今までNP1003シリーズをお買い上げ頂いた方に、 > (http://www.nahitech.com/nahitafu/np1003hp/np1003-intro.html) > 高速USB-JTAGの速度と便利さを体感していただくため、 > NP1003をUSB-JTAGケーブルとして活用するための情報も只今準備中です。 > > また、NP1003シリーズの最新版「NP1003E」を開発中です。 > もちろんJTAGケーブル化することも可能です。 > > ご期待ください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
13 | Re^9: フォトダイオードの件 | コメント数: 9件 |
なひたふ | 2004/06/01 (火) 11:48 | |
>
などの特徴があげられていたのですが、逆バイアスのほうが有効なのでしょうか? > 本は「光センサとその使い方」です。 逆バイアスをかけると、微弱光検出と直線性と暗電流は劣りますが、 動作速度が速くなります。 変化する信号を検出するには逆バイアスを、 照度計のようにほぼ一定した光量の検出では無バイアスがいいでしょう。 > また逆バイアスの逆電圧はフォトダイオードの規格内で任意に決めていいものなのでしょうか? かける大きさによって、特性が変化します。 詳しくは浜フォトの資料を読みましょう。 http://www.hpk.co.jp/Jpn/products/ssd/technical_information.htm > I-V変換だけでも十分増幅できるものなのでしょうか? I-V変換は増幅ではありません。 フォトダイオードはもともと電流を出力するデバイスです。 (光量に応じて単位時間あたりの電子生成数が変化する) それをいかに電圧として取り出すかという問題です。 > I-V変換で、 > V=-IR > と出力がマイナス電圧にはならないのでしょうか? IがマイナスだからVはプラスになるのです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
12 | Re^8: フォトダイオードの件 | コメント数: 10件 |
モウモウ | 2004/06/01 (火) 11:35 | |
> 基本的にはフォトダイオードは、逆バイアスをかけて使いますが、 > バイアスをかけない場合は太陽電池のようになります。 > 太陽電池モードでは応答速度は遅くなりますが、 > 微弱光の検出や直線性では勝ります。 本で、無バイアス回路は、 広範囲の光を検出できる。 逆バイアスより暗電流が少ない。 などの特徴があげられていたのですが、逆バイアスのほうが有効なのでしょうか? 本は「光センサとその使い方」です。 また逆バイアスの逆電圧はフォトダイオードの規格内で任意に決めていいものなのでしょうか? 浜松ホトニクスにI-V変換がついた製品があるのですが、 http://www.hpk.co.jp/Jpn/products/ssd/pdf/s9295_series_kspd1064j02.pdf I-V変換だけでも十分増幅できるものなのでしょうか? > また、光電流はカソードからアノードに向かって流れます。 > カソードが負の電圧になるので、1つめのオペアンプの出力は正になり、 > 2つめのオペアンプの出力は負になると思います。 I-V変換で、 V=-IR と出力がマイナス電圧にはならないのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
11 | (XST VHDL推論記述にて) ROMをブロックRAMで実装する方法 | コメント数: 4件 |
電車 | 2004/06/01 (火) 11:03 | |
お世話になります. XST(VHDL)の推論記述でROMをブロックRAMを使って合成させる方法ってありますか? ちなみに, プリミティブを使う方法は問題外とさせてもらいます. FPGA Expressでは下記のように記述すると (アドレス11bit以上で)ROMをブロックRAMを使って合成してくれるらしいのですが XST(ISE6.2)では全くだめで, sliceだけでROMを実装してしまいます. --- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity rom_16_8 is port ( clk : in std_logic; z : out std_logic_vector(7 downto 0); adr : in std_logic_vector(15 downto 0)); end rom_16_8; architecture RTL of rom_16_8 is type rom_type is array (0 to 65535) of std_logic_vector (7 downto 0); signal ROM : rom_type :=( "10010010", "01010001", ...省略... "10111001" ); begin process(clk) begin if (clk'event and clk = '1') then z <= ROM(CONV_INTEGER(adr)); end if; end process; end RTL; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
10 | 新製品の予告(USB-JTAGとNP1003E) | コメント数: 2件 |
なひたふ | 2004/06/01 (火) 10:28 | |
ノートPCでFPGAのコンフィグができたらいいなと思いませんか? どこでも電源いらずで使えるUSB-JTAGケーブルを開発中です。 従来公開していたシンプルなJTAG-USBケーブルは (http://www.nahitech.com/jtag/nusb/nusb.html) パラレルポートの約10分の1の速度しか出ませんでした。 現在開発している高速版は本当に速く、 コンフィグROMの書き込みでは、パラレルポート以上の速度が出ます。 FPGAの開発がぐっと楽になるでしょう。 また、今までNP1003シリーズをお買い上げ頂いた方に、 (http://www.nahitech.com/nahitafu/np1003hp/np1003-intro.html) 高速USB-JTAGの速度と便利さを体感していただくため、 NP1003をUSB-JTAGケーブルとして活用するための情報も只今準備中です。 また、NP1003シリーズの最新版「NP1003E」を開発中です。 もちろんJTAGケーブル化することも可能です。 ご期待ください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
9 | Re^11: AMラジオの選局について | コメント数: 1件 |
かみき | 2004/06/01 (火) 00:34 | |
>
もう終わってるみたいなので、完全に蛇足になりますが。。。 蛇足^2... > 回路図のエミッタ接地は増幅率が1倍になっているが気になりますが、 直流増幅率は 1 にみえますが、100Hz 以上でほぼ 2 あります。 >(ベース電位0.9V、コレクタ電位1.2V:2倍までは行けるか?) つまり、御指摘通りのぎりぎりの定数にしてあるようです。 # 強電界地域でこんなに増幅して大丈夫なのかと。それが気になって。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
8 | Re^5: 1.5Vと1.8Vの定電圧レギュレータ? | コメント数: 0件 |
GO | 2004/06/01 (火) 00:03 | |
GOです。。サイクロン基板成功しました。 条件: MSEL0=0v、MSEL1=0V、 かつnCE=0VへPULLDOWNする。 CYCLONE基板に、簡単な液晶表示のプログラムを無事、 書きこむことができました。 (各JTAGPINは3.3Vプルアップしないでも書きこめました。) こんどはBYTEBLASTERUで、EPCS1への書きこみです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
7 | Re^7: フォトダイオードの件 | コメント数: 0件 |
Nonta | 2004/05/31 (月) 23:35 | |
> 最終的な出力をプラスで出したいので、この向きにしたのですが、 > 向きによる影響は極性の違いだけが出てくるのでしょうか? 出力をプラスにしたいのなら,逆だと思うけど? > I-V変換の帰還抵抗値はどのくらいを目安に設定すればよいのでしょうか? 入射光量対出力電流特性から,紹介した本の12章に計算式が出ています. また,端子間容量が3000pFもあるようですから,紹介した本の5章を 熟読して,R1に並列容量を付けないと危ないでしょう. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
6 | Re^7: フォトダイオードの件 | コメント数: 11件 |
なひたふ | 2004/05/31 (月) 23:05 | |
こんばんは 最初の回路の方がよかったと思います。 基本的にはフォトダイオードは、逆バイアスをかけて使いますが、 バイアスをかけない場合は太陽電池のようになります。 太陽電池モードでは応答速度は遅くなりますが、 微弱光の検出や直線性では勝ります。 また、光電流はカソードからアノードに向かって流れます。 カソードが負の電圧になるので、1つめのオペアンプの出力は正になり、 2つめのオペアンプの出力は負になると思います。 > 最終的な出力をプラスで出したいので、この向きにしたのですが、 > 向きによる影響は極性の違いだけが出てくるのでしょうか? 大抵のフォトダイオードのケースはカソードに つながっていると思います。 適当に絶縁処理を行わないと、筐体を通じて 光学実験用の台とショートするかもしれません。 > また反転増幅の帰還抵抗を可変にして出力電圧の調整を行っているのですが、 あまりよくはありませんが、2段目なので仕方ないでしょう。 だいたい10〜1000倍くらい増幅させるようにすればいいと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
5 | Re^4: 1.5Vと1.8Vの定電圧レギュレータ? | コメント数: 1件 |
GO | 2004/05/31 (月) 22:52 | |
GOです。。 317で、無事1.49Vがでました。。。ありがとう! 次はCYCLONE基板とEPCS1の書きこみです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
4 | Re^6: フォトダイオードの件 | コメント数: 13件 |
モウモウ | 2004/05/31 (月) 22:28 | |
ありがとうございます。参考文献まで教えていただきありがとうございます。 先ほどの回路図はアノードとカソードの向きを間違えて記入してしまっていました。 最終的な出力をプラスで出したいので、この向きにしたのですが、 向きによる影響は極性の違いだけが出てくるのでしょうか? また反転増幅の帰還抵抗を可変にして出力電圧の調整を行っているのですが、 I-V変換の帰還抵抗値はどのくらいを目安に設定すればよいのでしょうか? http://jp.y42.briefcase.yahoo.co.jp/bc/okacchi04/lst?&;.dir=/&.src=bc&.view=l&.last=1 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
3 | Re^6: フォトダイオードの件 | コメント数: 0件 |
なひたふ | 2004/05/31 (月) 22:04 | |
モウモウさん、こんばんは ちなみにドライヤーでオペアンプを温めてみると、 やっぱり出力電圧は変わりますか? フォトダイオードを温めないように注意しながらやってみて下さい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
2 | Re^5: フォトダイオードの件 | コメント数: 15件 |
Nonta | 2004/05/31 (月) 20:47 | |
>モウモウさん LF412のオフセット電圧は3mVmaxですから,D1の暗電流もあって, 出力は−0.2mVより大きくなる可能性も有りますが,D1を取り外せば オフセット電圧を測定できます. フォトダイオードの受光面をすべて覆ったときの出力をゼロに調整するには, 3番か5番ピンに逆電圧を注入します. 回路をみて気の付いた点は,±電源にパスコンが無いようですが, 必ず入れましょう.次にフォトダイオードの接合容量以上の コンデンサをR1に並列に入ます. オペアンプの参考書で,無料で入手できるのはこれです. http://focus.ti.com/lit/an/slod006b/slod006b.pdf TIの看板Ron Manciniの力作ですから熟読を薦めます. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
1 | Re^4: フォトダイオードの件 | コメント数: 16件 |
モウモウ | 2004/05/31 (月) 18:07 | |
申し訳ございません。 回路図は、 http://jp.y42.briefcase.yahoo.co.jp/bc/okacchi04/lst?&;.dir=/&.src=bc&.view=l&.last=1 のuntitledにあります。 よろしくお願いいたします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
より新しい記事へ
← 304 件の投稿中 100件目〜1件目を表示 → より前の記事へ |
Copyright(C) 1999 NIFTY Corporation All Rights Reserved. |