なひたふ新聞読者の声 |
なひたふ新聞に載っている回路についての疑問や、電子回路のついて の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。 |
日付順表示|スレッド表示|ホームページへ戻る|ヘルプ |
100 | Re^2: Linux | コメント数: 0件 |
なひたふ | nahitafu at nifty "remove this" dot com | 2001/05/02 (水) 09:30 | |
> >
さて、そろそろプリンタポートを叩くプログラムでも作り始めましょうか > > ひょっとしてNAXJPのLinux版ですか? ピンポーン。その通りです。 まずは適当にIO叩くので、rootでないと実行できないプログラムですが、今日か明日には完成します。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
99 | Re: 窪田式FETアンプ作った人に質問。 | コメント数: 0件 |
なひたふ | nahitafu at nifty "remove this" dot com | 2001/05/02 (水) 09:28 | |
>
窪田式FETアンプ作りました。出た音はこもったような、とまでは行かな ・・・ > りそうですか。経験ある方教えて! 私は窪田式FETアンプというのを知らないので、なんとも言えません。 アンプに非常に詳しい友人がおりますので、その方のHPを紹介します。 ここの掲示板で解決できないアンプの問題はないと思います。ひずみ率に人生をささげているような方たちがいます。 ↓「アナログ研究室」 http://fnt-www.ss.titech.ac.jp/~nisimura/analog/index.html |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
98 | Re: Linux | コメント数: 1件 |
pnms | pnms@jcom.home.ne.jp | 2001/05/01 (火) 22:34 | |
>
さて、そろそろプリンタポートを叩くプログラムでも作り始めましょうか ひょっとしてNAXJPのLinux版ですか? 勝手に期待してます:-) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
97 | 窪田式FETアンプ作った人に質問。 | コメント数: 1件 |
min_min | min_min@mx11.freecom.ne.jp | 2001/05/01 (火) 21:46 | |
窪田式FETアンプ作りました。出た音はこもったような、とまでは行かなくても、高音があまり出ません。機械で測定するまでもなさそうです。回路基板だけオーソドックスなものまでいろいろ組んで取り替えてみたけど、どれもいまいち。唯一いいかなと思ったものは、発振してスピーカー破れました。さて質問ですが、高音不足の原因が、電源部(トランス,ケミコン、ブリッジダイオード等)にある可能性はありそうですか。経験ある方教えて! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
96 | リミッタとVDCの論文を探してます | コメント数: 0件 |
志水 | shimizu100@mail.goo.ne.jp | 2001/05/01 (火) 19:02 | |
リミッタとVDCの論文を探してます何か良い論文があったら教えてください |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
95 | Re^2: Linux | コメント数: 0件 |
おりおり | 2001/05/01 (火) 09:10 | |
>
もっとも、こちらはポリゴンとかを「ぶいぶい」いじれますが・・・。 日本語になっていなかった・・・・(^^;) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
94 | Re: Linux | コメント数: 2件 |
おりおり | 2001/05/01 (火) 09:09 | |
横道にそれるレスです。すみません。 Linuxといえば、今度、PS2(プレイステーション2)用のLinuxがソニーから出ますね。 キーボードと、パソコンモニタへのコンバーターと40Gのハードディスク込みで2万5千円だとか・・・・ モーションエンジンだとかの資料もついているとか・・・ 買おうかな・・・・と、悩んでいます。 また、さらに横道にそれますが、アートディンクという会社で同じくPS2用のBASICを出しました。これは買ってみました。 昔懐かしのMZ−80あたりをいじっているような感覚に襲われます。 もっとも、こちらはポリゴンとかを「ぶいぶい」いじれますが・・・。 脱線でした・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
93 | 差し上げます(バックアップ活用テクニック) | コメント数: 0件 |
なひたふ | nahitafu at nifty "remove this" dot com | 2001/05/01 (火) 01:02 | |
実家の掃除をしていたら、バッ活の22号から38号までの17冊と、ゲームラボ創刊号から6冊が出てきました。捨てるには忍びないので欲しい方にさしあげます。活用してください。 (まさかとは思いますが、希望が殺到したらくじ引きします) もちろん無料ですが、送料は着払いでお願いします。 欲しい方はメエルください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
92 | Linux | コメント数: 5件 |
なひたふ | 2001/04/30 (月) 11:02 | |
今日はじめてTurboLinux(FTP版)をインストールしました。最近はRPMなんていう便利なものがあるのですね。 最近のX Windows Systemにはいろいろなウインドウマネージャーがあって、いろいろと遊べて楽しいです。EnlightenmentやKDEも試しましたが、結局はGNOMEにしました。それでもやはりMisrosoftWindowsに慣れた感覚では使いづらいですが、プログラム開発が目的なのでこれで良しとしましょう。 TurboLinuxはインストーラーが勝手に設定してくれるので、できればもっとハマれる他のディストリビューションも試してみたいところです。 問題はハードディスクをいかにしてWindowsと共存させるかということです。パーティションのきり方には諸説あるようで、これをどうするかが悩むところです。 さて、そろそろプリンタポートを叩くプログラムでも作り始めましょうか |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
91 | Re^2: CPLDをISPする場合、ピンはハイZですよね? | コメント数: 0件 |
とよぞう | 2001/04/30 (月) 00:22 | |
> メーカーをはっきりしないと答えようがない > と思うんだけど :-) ふと何かがイヤになり、旅に出ようと思ったchan氏であった。 辿り着いたのはなひたふ新聞であった。 といふところでせうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
90 | Re: CPLDをISPする場合、ピンはハイZですよね? | コメント数: 1件 |
chan | 2001/04/29 (日) 20:42 | |
メーカーをはっきりしないと答えようがない と思うんだけど :-) Xilinxの場合なら、その辺のことについては マニュアルに明記されていますね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
89 | Re^3: ご意見感謝! | コメント数: 0件 |
なひたふ | 2001/04/27 (金) 07:15 | |
> おそらくデータは2の補数になっているのではないでしょうか。 > その場合はデータを補数なしに戻す必要があるかと思います。 > (WAVファイルなら補数なしかも。) うーん、どうだったか思い出せません。 たしか、2の補数ではないと思います。 私はこのページを参考にしてwavファイル関係のプログラムを作りました。 (あと、Wind32 APIのヘルプも超重要) http://www.ne.jp/asahi/nagoya/ahomaro/builder/index.html それで、作ったプログラムはオーディオのレベル調整用に正弦波のwavファイルを作るプログラムなのですが、こんな記述をしています。 for(int i=0;i<FDataSize;i++){ FWaveData[i] = 32767.*sin(Freq*2*3.141592653589793/44100*i); このFWaveDataはWORD型で宣言していますので、16bitの符号無し整数です。 たいしたプログラムではないので、よろしかったら、ソース全部と実行ファイルを差し上げます。参考にしてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
88 | Re: CPLDをISPする場合、ピンはハイZですよね? | コメント数: 0件 |
なひたふ | 2001/04/27 (金) 07:09 | |
> ももせです。 > CPLD、FPGAをISPしている最中はIOピンはどういう状態 > なのでしょうか。衝突しないようにハイZになっている > と思うのですが、実際はどうなんでしょ。 XILINXはHI-Zのはずです。 ちょっと知られていないことですが、XILINX-CPLDはJTAGを使わなくてもコンフィグできるようです。VPP電圧を加えたりする必要があるらしいのですが、パラレルモードになって各ピンにアドレスやデータを入れるモードがあるらしいのです。 XILINXのサイトの奥深いところにあった9500-isp.pdfというファイルを読んでみたらそう書いてありました。余談でした。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
87 | Re^2: CPLDをISPする場合、ピンはハイZですよね? | コメント数: 0件 |
hiroshi | kub@kamakuranet.ne.jp | 2001/04/27 (金) 00:31 | |
いつもROMしてばかりですが、
ちょうど同じことを調べたばかりでしたのでお役に立てそうです。 ALTERAの下記ドキュメントの15ページ、ISPのセクション6行目から「Duaring ISP...weakly PullUP..50K ohm] とあります。これよりMAX7000Aに関してはISP中、50Kオーム相当でプルアップされているようです。 よって外付けプルアップ抵抗なしでCMOS回路を接続しても大丈夫だと判断しました。 http://www.paltek.co.jp/altera/pdf/ds/7ka3_01.pdf |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
86 | Re: CPLDをISPする場合、ピンはハイZですよね? | コメント数: 1件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/26 (木) 17:02 | |
こんにちは > CPLD、FPGAをISPしている最中はIOピンはどういう状態 > なのでしょうか。衝突しないようにハイZになっている > と思うのですが、実際はどうなんでしょ。 FLEXだとHIZになりますね。リセットがかかりますから。確実です。 MAXですが、どうなんでしょう。 いちどこんな経験があります。 間違った回路をISPしてしまいました。 出力ショートでCPLDが熱くなりました。 正しい回路をISPしようとしても成功しませんでした。 #勉強しておきます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
85 | Re^2: ご意見感謝! | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/04/26 (木) 13:45 | |
http://www.momose.com/hirofumi/ | ||
>
結構単純な構造をしいて、PCM録音されたデータにヘッダがついているだけのようです。難しく考えなくてもwavファイルをそのままROMに焼いて、読み出してDA変換すれば、(最初にプチっとノイズが入るかとおもいますが)音声が聞こえるかと思います。 おそらくデータは2の補数になっているのではないでしょうか。 その場合はデータを補数なしに戻す必要があるかと思います。 (WAVファイルなら補数なしかも。) > 私も、この方法がよいと思います。パソコンではCD並みの再生など楽にできますが、マイコンではそうではありません。やはり、マイコン+専用のハード(カウンタ+DA)がベストだと思います。 AD,DAはめちゃめちゃいい加減なら抵抗だけで出来ます。ADはスレッショルド の関係でいい加減度が高いですが、DAは抵抗で作っても実用になります。 実際、非同期型のDAコン内部は抵抗と定電流源だったりします。 #時間が出来たらFPGAでΣΔDACを作ってみようかな、とも思っています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
84 | CPLDをISPする場合、ピンはハイZですよね? | コメント数: 6件 |
ももせ | hirofumi@momose.com | 2001/04/26 (木) 13:36 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 CPLD、FPGAをISPしている最中はIOピンはどういう状態 なのでしょうか。衝突しないようにハイZになっている と思うのですが、実際はどうなんでしょ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
83 | Re^2: JJYって無くなったんですね | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/04/26 (木) 10:07 | |
http://www.momose.com/hirofumi/ | ||
>
私も昔アマチュア無線をやっていましたが、短波帯には出ていませんでした。144や430ばかりでしたし、トランシーバ自作とかは全くやらなかったので、こういう標準局があったことすら知りませんでした。 > なるほど、自作した発振器の周波数は簡単にはわからないから、このような標準局を目印にすれば調整が楽になるのですね。 受信機を使っていわゆる”ゼロビート”を取ることで発振器の校正が出来ました。 > こんなに素晴らしいサービスがなくなってしまうのは残念です。 お手軽に周波数標準を得られなくなった点は痛いです。超長波のJG2ASも周波数 は正確でしょうが、標準としては使いにくいかな、と。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
82 | Re: JJYって無くなったんですね | コメント数: 1件 |
なひたふ | 2001/04/25 (水) 22:12 | |
> JJYって3/31で閉局したんですね。VLFに座を譲ったみたいです。 > > http://www.jarl.or.jp/Japanese/2_Joho/2-1_Digest/kobore.htm > > これを使って局発の調整をしたりすることは出来なくなりましたなあ。 > 標準周波数はともかく、時刻合わせとしては使いづらかったです。 私も昔アマチュア無線をやっていましたが、短波帯には出ていませんでした。144や430ばかりでしたし、トランシーバ自作とかは全くやらなかったので、こういう標準局があったことすら知りませんでした。 なるほど、自作した発振器の周波数は簡単にはわからないから、このような標準局を目印にすれば調整が楽になるのですね。 こんなに素晴らしいサービスがなくなってしまうのは残念です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
81 | Re: ご意見感謝! | コメント数: 2件 |
なひたふ | 2001/04/25 (水) 21:28 | |
>
“chan”さんの「XMODEMでwavファイルを...」と言うのもwavファイルの > 中身を知らないので良く分かりませんが、パソコン並みの音で、データを共有 > できる点にも興味があります。 wavファイルの中身は、私はWindowsプログラム関連のHPで知りました。 結構単純な構造をしいて、PCM録音されたデータにヘッダがついているだけのようです。難しく考えなくてもwavファイルをそのままROMに焼いて、読み出してDA変換すれば、(最初にプチっとノイズが入るかとおもいますが)音声が聞こえるかと思います。 > レンジと歪み率、SNに関わってきます。1ビットあたり6dBのレンジが得 > られます。マイコンを使わず、AD,DAとカウンタ、RAMで作った方が楽ではない > かと思います。 私も、この方法がよいと思います。パソコンではCD並みの再生など楽にできますが、マイコンではそうではありません。やはり、マイコン+専用のハード(カウンタ+DA)がベストだと思います。 なお、私がwavファイルを勉強したのは「BorlandC++Builderのお部屋」というホームページでした。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
80 | 2次遅れ回路について | コメント数: 0件 |
三鱗 | itou52@pi.titech.ac.jp | 2001/04/25 (水) 14:58 | |
OPアンプを用いた2次遅れ回路について教えてください. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
79 | JJYって無くなったんですね | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2001/04/24 (火) 16:12 | |
http://www.momose.com/hirofumi/ | ||
JJYって3/31で閉局したんですね。VLFに座を譲ったみたいです。 http://www.jarl.or.jp/Japanese/2_Joho/2-1_Digest/kobore.htm これを使って局発の調整をしたりすることは出来なくなりましたなあ。 標準周波数はともかく、時刻合わせとしては使いづらかったです。 「JJY JJY xx時xx分です JST ..ピー」変調がかかるタイミングがつか みにくいのが難点でした。(わかる人だけの話題ですね) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
78 | Re: ご意見感謝! | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/04/23 (月) 23:34 | |
http://www.momose.com/hirofumi/ | ||
ももせです 音声は勝手にサンプリングします。同期らしいものは無音部の停止などくら いです。標本化定理で、サンプリングされる音の帯域はサンプリング周波数 の1/2になります。帯域4K〜5K程度で電話の音質、10KでAMラジオ くらい、20KならCD並です。10Kの帯域ならば20Kでサンプリング ですから、マイコンだと結構忙しいです。あと、AD/DAの分解能がダイナミック レンジと歪み率、SNに関わってきます。1ビットあたり6dBのレンジが得 られます。マイコンを使わず、AD,DAとカウンタ、RAMで作った方が楽ではない かと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
77 | ご意見感謝! | コメント数: 4件 |
ぶるる | bulru@kofu.or.jp | 2001/04/23 (月) 18:42 | |
遅くなりましたが、ももせ様、chan様、shirou様、なひたふ様、軽石様 ご意見有難う御座いました。 それぞれの意見参考にさせて頂きました。 個人的には“ももせ”さんの「勝手にサンプリングすれば良いので...」 と言うフレーズがかなり気に入りまして、どうせマイコン使うなら内蔵の ADC/DAC使ってとりあえず何か音の録音/再生を試みてどんな音が出るのか 確認してみたいと思います。 “chan”さんの「XMODEMでwavファイルを...」と言うのもwavファイルの 中身を知らないので良く分かりませんが、パソコン並みの音で、データを共有 できる点にも興味があります。 またチャレンジしてみたいと思います。 皆様、有難う御座いました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
76 | Re: 何個かのボタン→チャイム鳴る(音がそれぞれ違う) | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/21 (土) 11:34 | |
> 宅配便の配達 > 集金 > 購入 > 資料ほしい > その他 おもしろいですね。 方向として2つあると思います。 つまり分かるようするかわからないようにするか。 わからないようにするなら、モールス信号のようにおしかたで分かるように するという手がありますね。 わかるようにするなら、ボタンをいくつかつけるわけですが、現在ある1対の 線を利用する工夫が要るとおもます。 ぼくは商売でものを作る仕事をしていますが、なかなかリーズナブルな費用では できないですね。 ちょと前の書込みで音声録音の話題がありましたが、ボタンで音声をならして それを、インターフォンのマイクのところにミキシングするとか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
75 | Re: 何個かのボタン→チャイム鳴る(音がそれぞれ違う) | コメント数: 0件 |
なひたふ | 2001/04/21 (土) 10:48 | |
>
#こういう小道具を安い値段で作ってくれちゃうようなサイトを探しています。情報があったら教えていただけないでしょうか。 このアイデアって面白いと思います。もし、いままでに同様のものが無ければ、これは十分に特許を取れるようなアイデアではないかと思います。 現在ではインターネット上で公開された情報も、(ある条件がそろえば)特許上では公知になってしまいますので、取り扱いには十分ご注意ください。 私は、当サイトで設計の方針について思い思いのことを言ったり、設計図程度なら示すことはできますが、時間の関係上、実際に代行して作ることはできません。 でも実際に作るのは簡単だと思いますよ。いくつかのボタンを買ってきて、秋葉原や東急ハンズで適当なチャイムを買ってきて、アルミかプラスチックかを加工して玄関に取り付ける筐体を作ればよいのですよね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
74 | 何個かのボタン→チャイム鳴る(音がそれぞれ違う) | コメント数: 2件 |
タック | s-holmes@t-pc.ne.jp | 2001/04/21 (土) 09:41 | |
はじめまして。 チャイムを探しているうちにこちらに来てしまいました。 事務所の玄関においておく設定で、何個かのボタンがあり、押すとボタンによって異なる音色のチャイムの鳴るものを探していましたが、市販のものはなさそうですね。 (例) 宅配便の配達 集金 購入 資料ほしい その他 のような感じの区分をしたいのです。 #こういう小道具を安い値段で作ってくれちゃうようなサイトを探しています。情報があったら教えていただけないでしょうか。 #自分自身は工作経験なしです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
73 | Re^5: 勢いで、つくってしまいました | コメント数: 0件 |
とよぞう | 2001/04/21 (土) 02:48 | |
>
USBで簡単に、プリンタポートと同程度の速度は得られる方法はないでしょうか。 私のはLowSpeedですので、7バイトのデータまでなら一気に送って、マイコン 側ファームで書き込み出来ます。一回10msかかるので、700バイト/秒ですね。 …パラレルポートより一桁遅い気が。 フルスピードのデバイス使えば非常に速いと思います。実行5Mbpsくらい行けるかも。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
72 | Re^4: 勢いで、つくってしまいました | コメント数: 1件 |
なひたふ | 2001/04/20 (金) 23:28 | |
> みなさん液晶ディスプレイをつけたり、GP-IBにつなげたりされていて > なかなか面白いですね。 私はやはり、XILINXの書きこみをやりたいです。 MultiLinx/USBとかいうUSBのダウンロードケーブルがあるそうですが、これのフリー版をつくりたいところです。 しかし、最大の問題点はXC9536の書きこみを行う場合でさえ、2500行程度のデータを送ってやらねばならないことです。書きこみケーブルにPICを内蔵するか何とかして、かなりインテリジェントにしないとダウンロード時間がかかってしまいそうです。 USBで簡単に、プリンタポートと同程度の速度は得られる方法はないでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
71 | Re^2: 音声でメッセージ | コメント数: 0件 |
なひたふ | 2001/04/20 (金) 23:26 | |
AVR、専用ICなどなど、いろいろと音声再生の便利な方法があるのですね。 では私も何かやってみます。 いま、XILINX-CPLDをチェーン接続した、つまらない汎用テストボードを作っているので、それにEPROMとDAコンバータをつないで実験してみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
70 | Re: 音声でメッセージ | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/04/17 (火) 13:17 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 工夫がないですが、出来合いの石で用途の合うものもあります。 http://www.oki.co.jp/semi/japanese/products/sp_rokuon.htm RAM/AD/DAを組み合わせるほうが自由度は高いですし、面白みもありますね。 入力と非同期に勝手にサンプリングすれば良いので、回路はシンプルに できると思います。面倒なフィルタ類がなくても動作しますよ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
69 | Re: 音声でメッセージ | コメント数: 0件 |
chan | 2001/04/17 (火) 01:49 | |
昔作ったものの中にこんなのがありました。 http://elm-chan.org/tmp/svs.png http://elm-chan.org/tmp/svs.jpg 録音はパソコンからXMODEMでwavファイルをNANDフラッシュ に流し込み、再生はケーブルを外して電源ONです(32kHzな らトータル1分間)。 これに時計機能を加えれば大体要求を満たせると思います。 まぁ、マイコンで遊んでみたいというのなら、いろいろ考 えてみるのが良いと思いますし、単に動けばいいなら専用IC でやっつけてしまうのが良いかと。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
68 | Re: 音声でメッセージ | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/16 (月) 23:55 | |
これなどはいかが? http://webclub.kcom.ne.jp/ma/yasuyuki/ISDchipcorderentrance.htm |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
67 | Re^2: ノイズカット | コメント数: 0件 |
なひたふ | 2001/04/16 (月) 22:52 | |
> >
ノイズをカットするための回路を作りたいのですが,簡単に作れるのでしょうか? どんなに精巧な回路を作っても、必ず50Hzのノイズは少なからず載ってきます。それ以外にもいろいろな周波数成分のノイズが常に載ってきます。どこまでノイズを許すかということで回路の難易度は大きく変わってきます。 一般に、ノイズを出す周波数が特定されているのならば、バンドエリミネートフィルタを使って切り取ります。50Hzや100Hzでは有効かもしれません。計測分野などで、信号の周波数が特定されていれば、バンドパスフィルタで拾います。でも、ノイズ周波数と信号の周波数が近い場合にはあまり有効ではありません。 当然ですが、ノイズはカットするより、元を断つことも忘れてはいけません。 ノイズを出すもとを特定できればよいのですが、多くの場合は簡単ではないこともあります。 たとえば、機器と機器をつなぐケーブルでGNDのループが形成され、ループが面積を持つとノイズが載るようになります。これを回避するのは現実問題としてはとても困難です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
66 | Re: 音声でメッセージ | コメント数: 0件 |
なひたふ | 2001/04/16 (月) 22:35 | |
> PCを使わないで、8ビット程度のマイコンを使って任意の時刻に任意の > 10秒程度の音声メッセージを流したいのですが、何かいいアイディアは > ありませんか? 流す音声が電話程度の音質でよいとして、PCM方式で必要な音声ROMの容量を計算してみますと、8bit8kHzサンプリングとして、10秒ですから80kByteのROMが必要になります。 つまり、1MBitのEPROMがあればいいわけです。このようなROMはアドレス線が17本です。17bitのアドレスカウンタがあればよいのですから、CPLDですとXC9536などが適任です。PICなどのワンチップマイコンでは自由に使える線が18本以上余っていればOKです。 アクセス方法がわかれば、スマートメディアなどから読み出してみても面白いかもしれません。パソコンを使えば簡単に録音できますし。昔に比べると音声の録音・再生はとても楽になりました。 私は昔、エレキットの「おしゃべり時計」を作ったことがあります。OneTimePROMに時刻データが入っていて、カスタムのICがROMからPCM録音された音声データ読み出して、抵抗で作ったDAコンバータに通して発声していたはずです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
65 | Re: 音声でメッセージ | コメント数: 0件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/16 (月) 19:43 | |
ぶるるさん、こんにちは! 条件がちょっと曖昧でなにを求めているか判らないですが、私なりの 判断でお答えしてしまいます。(こんな所に勢いはある・・・。(^^;) > PCを使わないで、8ビット程度のマイコンを使って任意の時刻に任意の > 10秒程度の音声メッセージを流したいのですが、何かいいアイディアは > ありませんか? 音声はオーディオアンプのライン入力につなげれば良い > のでそんなに大きな出力は必要ありません。 任意の時刻とは? 目覚まし時計のように設定した時刻(何時何分)にメッセージを流したり、 普通の目覚まし時計と同等の精度を求めるとしたら、時計用のRTCを内蔵している マイコンか、あるいは外付けの時計用のICが必要です。 (ざっくりとだったらPICでなんとかなりそうですね!) 軽石が悩んだのが「何かいいアイデアがありませんか?」という質問です。 ぶるるさんはどんなアイデアを求めているのでしょうか? 音声目覚まし時計というアイデアはすでにぶるるさんが考え出しています。 後は実現するだけです。(^o^)「がんばれ!」 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
64 | Re^3: 勢いで、つくってしまいました | コメント数: 2件 |
とよぞう | 2001/04/16 (月) 17:51 | |
> このUSBポートの先に1チップマイコンを取り付けていろいろやりたいな > と夢はあるのですが、軽石は勢いがないのでまだ出来ていません。(--; どうもです。 PICはつけてA/D変換をやらせてみました。 ここは一発、H8を付けてD/A変換というのはいかがで。 みなさん液晶ディスプレイをつけたり、GP-IBにつなげたりされていて なかなか面白いですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
63 | Re: ノイズカット | コメント数: 1件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/16 (月) 14:52 | |
一応例として・・・・。 > ノイズをカットするための回路を作りたいのですが,簡単に作れるのでしょうか? ノイズには回路の外へ出て行くノイズ、逆に外から入ってくるノイズ、回路の 中で生まれて、回路のほかの部分へ影響を与えるノイズなどいろいろなパターンがあります。 対策方法も簡単なもの(フェライトコアの取り付け)から回路パターンを変更 (回路図は変更しない)したり、今回の質問のように部品を追加する物まであります。 今回質問されているノイズがいったいどんな物なのか判ればもっと具体的に アドバイスができると思います。 病気を治したいと医者へ質問しても、患者の症状を医者が知らないと 治療がしようがないですね。(^^;「ノイズは回路の病気みたいな物・・かな?」 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
62 | 音声でメッセージ | コメント数: 11件 |
ぶるる | bulru@kofu.or.jp | 2001/04/16 (月) 11:05 | |
PCを使わないで、8ビット程度のマイコンを使って任意の時刻に任意の 10秒程度の音声メッセージを流したいのですが、何かいいアイディアは ありませんか? 音声はオーディオアンプのライン入力につなげれば良い のでそんなに大きな出力は必要ありません。 確か昔、音声をあらかじめ録音して設定時刻になると音声が流れる目覚し 時計が有ったと思いますが、どんな石を使っていたのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
61 | Re^2: 勢いで、つくってしまいました | コメント数: 3件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/16 (月) 10:57 | |
こんにちは軽石です。 勢いで買ってしまいました。(^^; まだ試していませんが、1週間ほど悩んだ末購入を決意しました。 特になにに使うという事はなかったのですが、とりあえずおもしろ そうだった物で購入してしまいました。 > USB-IOはいざとなったらファームも変更できますよ。 > フラッシュROMライターとか作ってますし。 > パラレルポートの無いマシンの救済になれば面白いかもですね。 > > 余談ですがUSB-IOは200個くらい売れてます。トラ技に広告出してみようかな(笑) このUSBポートの先に1チップマイコンを取り付けていろいろやりたいな と夢はあるのですが、軽石は勢いがないのでまだ出来ていません。(--; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
60 | Re^2: 勢いで、つくってしまいました | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/15 (日) 17:39 | |
>
その間に、JTAG関連,,, ぼくなどは、単にうわべだけで話題提供しているだけですよ。 アルテラの(?)JAMはALTERAだけしかできないようですし、Latticeのはケーブル がLatticeだけですし、一長一短ありますね。 > XILINX書きこみツールの開発を続けています。 現在、ポートのビットが設定できるようですが、できたらその部分がカスタマイズ できるといいですね。 > ところで、LatticeのツールでXILINXの書きこみの件ですが、消去も問題無くできましたでしょうか? あまり突っ込んでやっていないのですが、とくにエラーは出なかったです。 あと、ispVMSYSTEMについてライセンス登録は必要ないと思います。 ダウンロードする前に、登録(無料)が必要ですが。 どうも、ブラウザが間違ったクッキーを食べてしまうと全然うまくダウンロードでき ないようです。 家でならちゃんとダウンロードできるのですけど。>LATTICE |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
59 | Re: 勢いで、つくってしまいました | コメント数: 1件 |
なひたふ | 2001/04/15 (日) 12:43 | |
引越しやら何やらでいろいろ忙しく、1ヶ月ほど開発を休んでいました。 その間に、JTAG関連もだいぶん賑やかになってきましたね。 これから急いでいろいろ追いついていくつもりです。 それで、やっと、開発環境が整いましたので、 XILINX書きこみツールの開発を続けています。 ところで、LatticeのツールでXILINXの書きこみの件ですが、消去も問題無くできましたでしょうか? どうやら、SVFファイルに記述されている時間どおりにWAITしてもデバイスから帰ってくる「消去終了フラグ」がONにならないのです。それでも、消去はできているのが不思議なのですが・・・ 普通に考えれば「SVFファイルの実行エラー」みたいなメッセージがLatticeのツールから返るのではないかと思いますが、いかがでしたでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
58 | Re: 勢いで、つくってしまいました | コメント数: 4件 |
とよぞう | 2001/04/15 (日) 02:38 | |
> まだhomeに公開してないんですけど、 > http://www.hdl.co.jp/isp_x2a.html > 今日は一日中遊んでしまいました(土曜日) > 仕事もあるんですけど、、、。 ご紹介どうもです。 USB-IOはいざとなったらファームも変更できますよ。 フラッシュROMライターとか作ってますし。 パラレルポートの無いマシンの救済になれば面白いかもですね。 余談ですがUSB-IOは200個くらい売れてます。トラ技に広告出してみようかな(笑) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
57 | 勢いで、つくってしまいました | コメント数: 7件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/14 (土) 17:02 | |
まだhomeに公開してないんですけど、 http://www.hdl.co.jp/isp_x2a.html 今日は一日中遊んでしまいました(土曜日) 仕事もあるんですけど、、、。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
56 | Re^4: ispVMSsyttem使用例 | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/14 (土) 10:44 | |
追加: > ところで、こんどはJamPlayerというのをネタにしてみました。 > http://www.hdl.co.jp/max_t003.html > これをダウンロードするとCのソースがついていて、WindwosNTでByteBlasterの > ドライバを操作する方法などが見えます。 JamPlayerをカスタマイズして、USBI/Oをつかって、アルテラCPLDにISP/CONFIができそうです。 ぜひ試してみたい。 Jamもマルチベンダーのはずなのですが、LatticeのispVMSystemのように他社のデバイス用のjamデータ を生成する方法がわかりません。 Latticeにもこのようなものがあります。 http://www.latticesemi.co.jp/products/destools/ispvmembed.html |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
55 | Re: ノイズカット | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/14 (土) 10:36 | |
>
ノイズをカットするための回路を作りたいのですが,簡単に作れるのでしょうか? ノイズだけでは片づけられないですね。 もっと詳しい情報が必要です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
54 | Re^3: ispVMSsyttem使用例 | コメント数: 1件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/14 (土) 10:34 | |
>
ヒューマンデータさんで、ナイスなCPLD基板をがんがん出してくれてます。 > 5万ゲートのSpartanIIが乗って28000円ですから、もの凄い量のロジック入れられますよ。 > 私もFreecpu用に一枚買わせて頂きました。 > > ※私はhdlさんの回し者とも言えます することがないので、ガンガンつくっていますが、ガンガンは売れませんです。 しかしちりも積もれば、、、。 たまにガンガン売れることもありますが。 シリアル番号をみると最高が165です。(OEM除く、キットにはシリアルありません) 少ないのは、2年近くたって、17というのがあります。 全くゼロというのはありませんが。 まあ普通預金の金利よりはましでしょう。 ところで、こんどはJamPlayerというのをネタにしてみました。 http://www.hdl.co.jp/max_t003.html これをダウンロードするとCのソースがついていて、WindwosNTでByteBlasterの ドライバを操作する方法などが見えます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
53 | ノイズカット | コメント数: 3件 |
saka | 2001/04/13 (金) 19:23 | |
ノイズをカットするための回路を作りたいのですが,簡単に作れるのでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
52 | Re^3: ispVMSsyttem使用例 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/04/13 (金) 10:42 | |
http://www.momose.com/hirofumi/ | ||
私はCPLDやFPGAはある意味で趣味の世界の救世主というか、復権ができる最後の 手段かもしれんな、と考えています。趣味の世界の部品調達は益々厳しくなって いるし、ASICの台頭で趣味と商用レベルでは差が開く一方です。ソフトではFree Wareを中心とした趣味の延長の世界がそれなりのステータスを確保しているよう に、ハードでも同様な効果を期待しています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
51 | Re^2: ispVMSsyttem使用例 | コメント数: 3件 |
とよぞう | 2001/04/13 (金) 01:47 | |
> 今月のトラ技を見て驚きです。 > CPLDやFPGAの解説がされていて、 > 趣味の世界にもCPLDが普及しそうな勢いですね。 ヒューマンデータさんで、ナイスなCPLD基板をがんがん出してくれてます。 5万ゲートのSpartanIIが乗って28000円ですから、もの凄い量のロジック入れられますよ。 私もFreecpu用に一枚買わせて頂きました。 ※私はhdlさんの回し者とも言えます |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
50 | Re: ispVMSsyttem使用例 | コメント数: 4件 |
なひたふ | 2001/04/10 (火) 21:34 | |
>
なひたふさんのアドバイスのおかげで、XILINXデバイスにも書込みができました。 おめでとうございます。 今月のトラ技を見て驚きです。 CPLDやFPGAの解説がされていて、 趣味の世界にもCPLDが普及しそうな勢いですね。 ALTERAですが、JTAGバウンダリスキャンまで書かれている。 最近、家の引越し作業のため2週間ほど開発を中断していたのですが、 うかうかしていられなくなりました。 睡眠時間を削ってでも頑張って早くいろいろ完成させなければ・・・ 今日、会社の帰りに実家に寄って、オシロスコープを取ってきました。 TRIOのTN−55。電車で運んでいるのでとても重いです。 あまりにも重すぎるので、旅行用のトランクケースに入れて運んでいます。 あと必要なものは、電源。とりあえず、ACアダプタでいいかな・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
49 | ispVMSsyttem使用例 | コメント数: 5件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/10 (火) 13:03 | |
なひたふさんのアドバイスのおかげで、XILINXデバイスにも書込みができました。 http://www.hdl.co.jp/ispvm9_xin.html (ページは昼休み時間でつくったので、いつも手抜きの即席です) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
48 | Re^4: VHDLでの双方向バッファ | コメント数: 0件 |
おりおり | 2001/04/07 (土) 23:42 | |
おりおりです。 > 二番目の書き方ですと、 中略失礼 > は両方とも常にZが出る気がします。 うーむ。むずかしいものですね・・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
47 | Re: デジカメ(自作について) | コメント数: 0件 |
なひたふ | 2001/04/07 (土) 22:56 | |
>
デジカメというのはA-DコンバータとFIFOとD-Aコンバータを直結しただけでは作れないのでしょうか?(入力=ビデオコンポジット信号、出力=ビデオコンポジット信号の場合) ADコンバータとFIFOのタイミングなどを合わせることは必要ですが、それだけの部品でも最小限の機能が作れます。 メモリを節約するために、画像のある部分だけを切り出しそれを再びNTSC信号にするのであれば、やはり同期信号の発生回路が必要になってきます。 逆にメモリをふんだんに使い、パソコンにとりこむのが目的であれば、オシロスコープのようにNTSC信号をそのままAD変換して、パソコンに取り込み、CPUパワーを使って画像と同期信号を同期しても面白いかもしれません。そうすると、回路規模はとても小さくなります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
46 | デジカメ(自作について) | コメント数: 1件 |
井上 | 2001/04/07 (土) 12:57 | |
デジカメというのはA-DコンバータとFIFOとD-Aコンバータを直結しただけでは作れないのでしょうか?(入力=ビデオコンポジット信号、出力=ビデオコンポジット信号の場合) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
45 | Re: ELISNET のキャンペーン 年間48,000円 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/04/07 (土) 04:07 | |
http://www.momose.com/hirofumi/ | ||
こんばんは、ももせです。 個人では年5万でもきついです。 僕なら5万あれば某かの測定器でも買いたくなります。 仰るとおり、最近はたいていのデータシートがPDFで手に入る のでかつてから考えると非常にありがたいと思います。昔は 個人だと相手にすらしてもらえず、音沙汰なしなんてざらで したから。 ただ現在でも一寸特殊なパーツを入手しようものなら非常に 苦労することは変わりませんね。この問題はあまり解決されてい ません。結果として多量の在庫を抱えるか、入手をあきらめる か、ということも結構多いですからね。 石によっては技術情報の非開示契約が必要な物もあって、個人 だとこの契約がメチャクチャ難しいです。たぶん個人だと契約 不可能です。この場合は知り合いの法人を借りるとかして凌いで いますが、辛いですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
44 | Re^5: VHDLでの数値の記述 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/04/07 (土) 00:41 | |
http://www.momose.com/hirofumi/ | ||
> なるほど。このようにしたら通りました。 > もうすこし、型について勉強してみます。 > ありがとうございました。 わたしもまだVHDLについては発展途上なので 勉強中であります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
43 | Re^3: VHDLでの双方向バッファ | コメント数: 1件 |
soh97 | soh97@lycos.ne.jp | 2001/04/07 (土) 00:15 | |
soh97です。 > > ラティスのCPLDの場合で、ラティスの無料版ツールでの話ですが、 > > 一度内部信号 cを作ってあげて > VHDLの書き方としては、私の2番目のでよいのでしょうかね??? 作るのが必須の気がしてきました。 二番目の書き方ですと、 if (dir = '1') then a <= b; b <= 'Z'; else b <= a; a <= 'Z' end if は両方とも常にZが出る気がします。 > 貴重なアドバイスありがとうございました。 いえいえ、不確実なアドバイスでして。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
42 | Re^4: VHDLでの数値の記述 | コメント数: 1件 |
おりおり | 2001/04/06 (金) 23:36 | |
ももせさん、こんにちは。 > 今回のケースは、x"1234"が std_ulogic_vectorなのかBIT_VECTORなの > かわからんぞ、ということのようです。あらかじめ型指定してある > signalなんかにつっこんでいれば自動的にその型が使われます。 > とりあえず、以下のような型限定をすると通りました。 > > data <= to_stdlogicvector( bit_vector'(x"ffff") ); なるほど。このようにしたら通りました。 もうすこし、型について勉強してみます。 ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
41 | Re: ELISNET のキャンペーン 年間48,000円 | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/04/06 (金) 09:04 | |
ぼくは、従量制で契約していますけど。 使わなかったら無料。 たまに2000円とか3000円とか請求がきます。 クレジットカードでひかれています。 たぶん入会金は要らなかったと思います。 ELISNETもメーカーがディスコンにしたものを消さなければGOODなんですが。 最近使うことがめっきり減りました。 日本のサイトになくてもアメリカのサイトにあったりします。 というか、日本語のサイトより充実していることがおおいです。 シャープのLEDなど、日本にはなかったのではないかな? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
40 | ELISNET のキャンペーン 年間48,000円 | コメント数: 2件 |
森 秀樹 | hideki.mori@bowneglobal.co.jp | 2001/04/06 (金) 08:01 | |
半導体のデータサービス エリスネット http://www.elisnet.or.jp/ なのですが、DM が来て、5/31 までの到着分に 関して、年間 48,000円で契約できるらしい。 今は、各半導体メーカが web page に情報を公開されている時代なので、 アマチュアがこれに契約するメリットてあるかどうかわかりませんが、 私が5年ほど前に使った範囲では、これほど、半導体の情報を集めた物も 少なく、年間費用を払うだけで、データブックのダウンロードが無料、 年間 1200Page までは郵送も無料、というのがお気に入りでした。 とうぜん、価格は企業向けの価格になっていて元々10万/年なんですが、 今回、1回限りとは言え、5万。しかし、こういうのに、体がなれちゃうと、 次の年も契約しなくてはならなくなるし、そうすると10万。ちょと、個人だと きびしいなあ。 ELISNET 創業11周年キャンペーン。しかし、ELISNET の WEB Page には その情報がない。なので、必要な人は エリスネット営業部 0120-233-699 へ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
39 | Re^3: VHDLでの数値の記述 | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2001/04/06 (金) 02:01 | |
http://www.momose.com/hirofumi/ | ||
合成ツールがタイプ限定できないと言っているようです。 std_logic_1164.vhdを見るとわかりますが、To_stdlogicvectorは2個 あります。この2個はC++のようにオーバーロードされるようになって いて、引数の型によってどちらかが使われるようになってます。 今回のケースは、x"1234"が std_ulogic_vectorなのかBIT_VECTORなの かわからんぞ、ということのようです。あらかじめ型指定してある signalなんかにつっこんでいれば自動的にその型が使われます。 とりあえず、以下のような型限定をすると通りました。 data <= to_stdlogicvector( bit_vector'(x"ffff") ); |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
38 | Re^2: VHDLでの数値の記述 | コメント数: 3件 |
おりおり | 2001/04/05 (木) 23:31 | |
軽石さん、こんいちは、 開発セットは、ザイリンクスのWebPackです。2ヶ月ほど前にダウンロードしました。 極力シンプルにしてみました。 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity testa is Port ( test : out std_logic_vector(15 downto 0)); end testa; architecture behavioral of testa is begin test <= To_stdlogicvector(X"1234"); end behavioral; これでも、 ERROR : (VHP__0810). E:\temp\test\test1\testa.vhd Line 14. to_stdlogicvector has two possible definitions in this scope. と、出ます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
37 | Re: VHDLでの数値の記述 | コメント数: 4件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/05 (木) 11:51 | |
こんにちは! ツールはなにをお使いでしょうか? 私が使ったツールだと問題なくコンパイルができました。(ザイリンクス社) (シミュレーションまではやっていません。) 長くないのであればソースを全部見せていただくか、メールに添付して いただければ此方で確認できます。 > こんにちは。 > VHDLで、ビット列以外の数値を記述するにはどのようにするのでしょうか? > 本で勉強して > > a : out std_logic_vector(14 downto 0); > のとき、 > a <= To_stdlogicvector(X"ABCD"); -- 16進でABCDのつもり > かな?と思ったのですが、 > > to_stdlogicvector has two possible definitions in this scope. > > と、怒られてしまいます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
36 | Re^2: VHDLでの双方向バッファ | コメント数: 2件 |
おりおり | 2001/04/05 (木) 10:53 | |
soh97さん、こんにちは。おりおりです。 > ラティスのCPLDの場合で、ラティスの無料版ツールでの話ですが、 > 一度内部信号 cを作ってあげて VHDLの書き方としては、私の2番目のでよいのでしょうかね??? 内部信号を一度通すと、うまくいくのですね。 私も(いろいろ)実際試してみます。 貴重なアドバイスありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
35 | Re^2: VHDLでの双方向バッファ | コメント数: 0件 |
おりおり | 2001/04/05 (木) 10:50 | |
軽石さんこんにちは、おりおりです。 > LS245のような回路をお考えなのか、メモリのような構造をお考えなのか > わかりませんが、 245的にしたかったのです。 > 私の場合はこのWebを参考にしてみました。 > > http://www.seibu-kg.pref.hiroshima.jp/970714b1/index.htm 見に行ってきました。これは、メモリ的な使い方ですよね(??) この場合はこれで良いと思うのですが・・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
34 | Re^2: VHDLでの双方向バッファ | コメント数: 0件 |
おりおり | 2001/04/05 (木) 10:42 | |
IKEさん、こんにちは。コメントありがとうございます。 > 通常,IC内部での双方向バッファは用いません。 CPUのデータバスをCPLD経由でメモリのデータバスにつなごうと思ったのですが・・・・。もちろん、ただのバッファとしてだけではなく、そこから信号を引っぱって、いろいろ処理をします。(ディスプレイ回路を作っています) > CPUのバスに接続するのであれば > CPUの出力/ICの入力は常時であり制御の必要はありません。 > CPUの入力/ICの出力は不要時には’Z’にする必要があります。 この方法だとCPU入力時には出力・入力ともされることになるのですが、信号がループ(?適切な表現がわかりません)してしまうことはないのでしょうか? 教えてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
33 | Re: VHDLでの双方向バッファ | コメント数: 1件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/05 (木) 10:41 | |
こんにちは。 私の場合はこのWebを参考にしてみました。 http://www.seibu-kg.pref.hiroshima.jp/970714b1/index.htm > こんにちは。 > VHDLにおいて、双方向バッファ(バストランシーバなど)を記述するにはどのようにするのでしょう。 > > if (dir = '1') then > a <= b; > else > b <= a; > end if > で、良いのでしょうか? それとも、 > if (dir = '1') then > a <= b; > b <= 'Z'; > else > b <= a; > a <= 'Z' > end if > のようにハイインピーダンスにする必要があるのでしょうか。 > それとも別の書き方をするのでしょうか? > どなたか、おしえてください。おねがいいたします。 LS245のような回路をお考えなのか、メモリのような構造をお考えなのか わかりませんが、 私がメモリとして使った場合にはZ(ハイインピーダンス)の記述は使いました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
32 | Re^2: VHDLでの数値の記述 | コメント数: 0件 |
おりおり | 2001/04/05 (木) 00:33 | |
おりおりです。 > > a : out std_logic_vector(14 downto 0); > 16進でABCDなら16bit必要ですので (15 downto 0); > では? おっと、ごめんなさい。 質問を出すために急きょ切り貼りで作ったソースでした。 (15 downto 0) でも 同じエラーが出ます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
31 | Re: VHDLでの双方向バッファ | コメント数: 1件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/04/05 (木) 00:29 | |
IKEです。 VHDLで書いたことは一度もないのですが。。。 で、回答にはなってないかもしれませんが > VHDLにおいて、双方向バッファ(バストランシーバなど)を記述するにはどのようにするのでしょう。 通常,IC内部での双方向バッファは用いません。 CPUのバスに接続するのであれば CPUの出力/ICの入力は常時であり制御の必要はありません。 CPUの入力/ICの出力は不要時には’Z’にする必要があります。 #私もまじめにVHDLを勉強しなくては。。。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
30 | Re: VHDLでの双方向バッファ | コメント数: 3件 |
soh97 | soh97@lycos.ne.jp | 2001/04/05 (木) 00:25 | |
> こんにちは。 > VHDLにおいて、双方向バッファ(バストランシーバなど)を記述するにはどのようにするのでしょう。 > のようにハイインピーダンスにする必要があるのでしょうか。 > それとも別の書き方をするのでしょうか? > どなたか、おしえてください。おねがいいたします。 ラティスのCPLDの場合で、ラティスの無料版ツールでの話ですが、 一度内部信号 cを作ってあげて process(dir,a,b) if(dir = '1')then c <= 'b' else c <= 'a' end if end process; process(dir,c) if(dir = '1')then a <= c; b <= 'Z'; else a <= 'Z'; b <= c; end if; end process; としてやらないと、双方向ピン扱いになってくれませんでした。 出力Zは必須だと思います。そうしないと、出力ピンになってしまって いました。 2番目の書き方も試したのですが、何故か出力ピン扱いのままでした。 VHDLは初心者レベルに近いので、正確ではないかも知れませんが、 参考まで。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
29 | Re: VHDLでの数値の記述 | コメント数: 1件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/04/05 (木) 00:20 | |
IKEです。 VHDLで書いたことは一度もないのですが。。。 > a : out std_logic_vector(14 downto 0); 16進でABCDなら16bit必要ですので (15 downto 0); では? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
28 | VHDLでの数値の記述 | コメント数: 7件 |
おりおり | 2001/04/04 (水) 23:33 | |
こんにちは。 VHDLで、ビット列以外の数値を記述するにはどのようにするのでしょうか? 本で勉強して a : out std_logic_vector(14 downto 0); のとき、 a <= To_stdlogicvector(X"ABCD"); -- 16進でABCDのつもり かな?と思ったのですが、 to_stdlogicvector has two possible definitions in this scope. と、怒られてしまいます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
27 | VHDLでの双方向バッファ | コメント数: 8件 |
おりおり | 2001/04/04 (水) 23:29 | |
こんにちは。 VHDLにおいて、双方向バッファ(バストランシーバなど)を記述するにはどのようにするのでしょう。 if (dir = '1') then a <= b; else b <= a; end if で、良いのでしょうか? それとも、 if (dir = '1') then a <= b; b <= 'Z'; else b <= a; a <= 'Z' end if のようにハイインピーダンスにする必要があるのでしょうか。 それとも別の書き方をするのでしょうか? どなたか、おしえてください。おねがいいたします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
26 | Re^6: オンボード通信 | コメント数: 0件 |
軽石 | NBF02247@nifty.ne.jp | 2001/04/04 (水) 18:26 | |
始めまして、軽石です。 だいぶ時期が過ぎていますが一応一言・・・・。 > 最初に質問した本人じゃが(笑)、その通りでござる。 > 結構いいかげんに用語使ってるよにゃー。 > 規格って、本になってるのかなあ?一般人が買えるように。 規格は一般人でも入手は可能です。 (ただし値段が高いので気楽に買うことはできません。)(^^; 一般的な製品はJIS規格を満足するように設計しています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
25 | Re^5: いきなりですみません、助言お願いします。 | コメント数: 0件 |
janus | civic@tsunagi.bizland.com | 2001/04/04 (水) 01:40 | |
http://tsunagi.bizland.com/ | ||
>
やはり、おっしゃる通り、Windowsで表示したい絵のビットマップを作り、それをシリアルポートかパラレルポート経由でZ80に送り、Z80は与えられたビットマップをメモリに保存し、タイマー割り込みを用いて一定時間ごとにLEDパネルに表示するという方法だと思います。 分かりました。 とりあえずこのシステムでの実現を目指してがんばります。 また分からないことが出てきたらよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
24 | Re^4: いきなりですみません、助言お願いします。 | コメント数: 1件 |
なひたふ | 2001/04/03 (火) 23:08 | |
>
Windows上でVCなどを使ってテキストボックスに入力した文字を白黒のBMPにして、 (中略) > 大まかにこのような流れで良いんでしょうか? はい。このような流れが最も簡単で確実です。 高度なところを目指すのであれば、電光掲示板システムの方にフォントROMを乗せるという方法もありますが、なかなか難しくなるので、まずは確実に動くものを製作されるのが良いかと思います。 さらに高度なところでは、Windowsマシンが無くても、電光掲示板だけで動作するようなのが理想ですが、そのためにはフォントROMを乗せなければなりません。 > どのようなシステムで作るのが一番実現しやすいですか? やはり、おっしゃる通り、Windowsで表示したい絵のビットマップを作り、それをシリアルポートかパラレルポート経由でZ80に送り、Z80は与えられたビットマップをメモリに保存し、タイマー割り込みを用いて一定時間ごとにLEDパネルに表示するという方法だと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
23 | Re^3: いきなりですみません、助言お願いします。 | コメント数: 2件 |
janus | civic@tsunagi.bizland.com | 2001/04/01 (日) 20:39 | |
http://tsunagi.bizland.com/ | ||
少し勉強すれば結構簡単に実現出来そうですね。 今自分の中で考えている部分は(実現可能かどうかは不明) Windows上でVCなどを使ってテキストボックスに入力した文字を白黒のBMPにして、 そこから一列ずつのデータを抜き出しRS232CでZ80ののっている実習用ボードにデータを渡し、 そこから掲示板へシフトしながら表示させていけばいいかなと思っているのですが、 大まかにこのような流れで良いんでしょうか? どのようなシステムで作るのが一番実現しやすいですか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
22 | Re^2: いきなりですみません、助言お願いします。 | コメント数: 3件 |
なひたふ | 2001/04/01 (日) 08:58 | |
>
電光掲示板本体は電気電子コース、それを制御するプログラムが情報制御コース。 できれば一人の人がすべて作ってしまうのが理想です。人から人へ設計を渡すときが一番問題がおきやすいのです。 > 学校ではZ80でのアセンブラ言語、ザイリンクスのFCPGA?へのVerilog HDLで設計 CPLD/FPGAを単なるパラレルIOとして使うのであれば開発は簡単ですが、CPUの方に負担がかかります。CPLD/FPGAがLEDの制御だけでなく、メモリのアクセスや表示ラインの順送り 、スクロールなどを行うならば、CPUの負担は少なくなります。 CPLD/FPGAとCPUを併用するシステムでは、どこまでをソフトで実現し、どこまでをハードで実現するかという線引きが大事になります。 電光掲示板なら、(開発環境が)高価で修得が難しいFPGAを使わなくても、CPLDの方が簡単ですし、入手も容易です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
21 | Re: いきなりですみません、助言お願いします。 | コメント数: 4件 |
なひたふ | 2001/04/01 (日) 08:57 | |
電光掲示板は、原理さえわかってしまえば難しいものではありません。LEDの数によって流れる最大電流が決まるので、それをオーバーしないようにトランジスタを選んでください。意外と電流が流れます。 電光掲示板は目の残像を利用して、横方向の一列しか同時に点灯しない方法が一般的です。この表示ラインをスキャンする速度が遅いとちらつきが生じます。たとえば、縦16ラインの電光掲示板で、一秒間に60回の画面更新をおこなうとすると、ラインのスキャン速度はおよそ1kHzになります。CPUがZ80の4MHzですと、一つのラインとラインの間でおよそ500命令ほどしか実行できません。FPGA/CPLDですと、4クロックくらいでラインの更新ができるでしょうが、Z80では40クロックくらいはかかるでしょう。もちろんZ80でも速度的には十分です。 Z80の場合は、ラインの更新タイミングは、割り込みを使うと面白いでしょう。 実際には、ラインのスキャン速度は速いほうが綺麗に見えますので、画面の更新レートが60Hzではちょっと不足です。画面の更新レートが電源の周波数50Hzや60Hzの整数倍に近い値の場合、LEDに流す電源のパワーが不足していると、ちらつきが酷くなります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
20 | いきなりですみません、助言お願いします。 | コメント数: 5件 |
janus | civic@tsunagi.bizland.com | 2001/03/30 (金) 03:11 | |
http://tsunagi.bizland.com/ | ||
検索エンジンで行き着いたのですが、少し教えて下さい。 ぼくは電気情報工学科の学生なんですが、今年の学園祭で学科展を任されることになる予定なんです。 去年までは学科の展示物としてイライラ棒をしていました。授業で使うZ80で制御していました。 今年は何か新しい物を自分たちで作ろうと言うことで、何をしようかと話し合った一つの案に電光掲示板がありました。 電気情報工学科の中のコースに電気電子コースと、情報制御コースと言うのがあり両方の技術が混ざった物が良いと思っています。 そこに電光掲示板が今のところぴったり来ているのです。 電光掲示板本体は電気電子コース、それを制御するプログラムが情報制御コース。 現実問題として、掲示板を作るのは難しいのでしょうか? 今考えてる機能は、20*100ぐらいの掲示板にパソコンから入力する文字をそのまま反映出来ればいいと思っています。 実現方法も少し考えていますが・・・ 学校ではZ80でのアセンブラ言語、ザイリンクスのFCPGA?へのVerilog HDLで設計をしています。 助言お願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
19 | Re^4: I2Cバスの資料はどこに? | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/03/29 (木) 12:38 | |
こんなのがありました http://ntus.net/j/i2clpt/index.htm |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
18 | Re^3: I2Cバスの資料はどこに? | コメント数: 1件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/03/28 (水) 22:22 | |
IKEです。 なひたふさん、shirouさん ありがとうございました。 RS232>I2Cバスの変換冶具を作りたかったのですが、 どうせなら、汎用的にならないかと思ったのです。 AVRで可能なようなら、ハードは簡単ですね。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
17 | Re^9: フリーなPLDの書きこみツール | コメント数: 0件 |
なひたふ | 2001/03/28 (水) 19:15 | |
> http://www.hdl.co.jp/ispvm_alt.html > http://www.hdl.co.jp/ispvm9_alt.html ダウンロードしてみましたが、やっぱりユーザー登録しなければならないようですね。使い心地の方は・・というと、実はどこをどういじっていいのかわからないのでまだ試していないんです。 少なくともXILINXのJEDECファイルを直接は解読してくれないようです。それから、XILINXのSVFファイルはSMASKという項がありますが、大丈夫でしょうか。 では |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
16 | Re^2: I2Cバスの資料はどこに? | コメント数: 2件 |
shirou | a-ushiro@hdl.co.jp | 2001/03/28 (水) 17:55 | |
日本フィリップスから日本語資料を見つけました。 http://www.philips.co.jp/semicon/catalog/pdf/i2cbus.pdf |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
15 | Re^8: フリーなPLDの書きこみツール | コメント数: 1件 |
shirou | a-ushiro@hdl.co.jp | 2001/03/28 (水) 14:04 | |
> でやっていることですが、まだうまくいきません。 一応うまく行きました。 http://www.hdl.co.jp/ispvm_alt.html http://www.hdl.co.jp/ispvm9_alt.html |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
14 | Re: I2Cバスの資料はどこに? | コメント数: 3件 |
なひたふ | 2001/03/28 (水) 11:58 | |
>
最近のICはI2Cバスでの接続が多いようですね。 最近は本当に多いです。ちょっとしたADコンバータのモード設定にも使われるほどです。「白あげて赤下げない」って感じで面白い規格だと思います。 > ちょいと勉強したいのですが、I2Cバスの正式な資料は > どこにあるのでしょうか? > また参考になる資料はどこかにありませんか? I2Cはフィリップスが発明したものだそうで、 http://www-us.semiconductors.com/i2c/ この辺が本家かと思われます。 http://www-us.semiconductors.com/acrobat/various/I2C_BUS_SPECIFICATION_2.pdf PDFでダウンロードできるようにもなっているみたいです。後学のために私もダウンロードしました。 I2Cで私が一番気になったのは、STOPコマンドを送る際にACKが帰ってこない場合(だったかな)のやり方です。SDLはHにしておけばいいのか、Lにしておけばいいのか・・・とか悩んだこともありましたが、結局どっちでも良かったような気もします。 日本語の資料としてはトラ技に結構詳しく書かれていたと思いますが、どの号だったかは思い出せません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
13 | I2Cバスの資料はどこに? | コメント数: 4件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/03/28 (水) 11:21 | |
IKEです。 最近のICはI2Cバスでの接続が多いようですね。 ちょいと勉強したいのですが、I2Cバスの正式な資料は どこにあるのでしょうか? また参考になる資料はどこかにありませんか? だれか教えてくださいな。 じゃ! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
12 | Re^7: フリーなPLDの書きこみツール | コメント数: 2件 |
shirou | a-ushiro@hdl.co.jp | 2001/03/27 (火) 23:57 | |
>
ありがとうございます。fpgaメーリングリストに書かれて、びっくりです。 ぼくが書きました。 http://www.hdl.co.jp/ispvm_alt.html でやっていることですが、まだうまくいきません。 WebPackiseでsvfファイルをつくっても、中身がコメントだけで空っぽなのも 新しい謎です。 本物のLatticeのケーブルを買うほどでもないし、、、。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
11 | Re^6: フリーなPLDの書きこみツール | コメント数: 3件 |
なひたふ | 2001/03/26 (月) 22:53 | |
> はじめまして、「こへい」です。 > [naxjp]おもしろい企画ですね。期待しています。 ありがとうございます。fpgaメーリングリストに書かれて、びっくりです。 本当はもっと洒落た名前をつけたいのですが、なにかいい名前はないでしょうか。 > 是非、XC18V00シリーズに対応させて下さい。 是非ともいろいろなデバイスに対応させたいと思います。がんばって解析いたします。 いま、新しいバージョンを作成しているところです。コアダンプや「不正な処理」をしないようにいろいろとデバッグを重ねた結果、何故か急に書きこみが出来なくなってしまいました。この問題を解決できればすぐにでもアップしたいと思っています。 > ファイルがJEDECではなくMCS-86あたりになるのが大きな違いでしょうか? そうですね。あとは、書きこみアルゴリズムが違うので、またいろいろと分析しなければならなくなります。 志は低くてもとりあえず動くものを、というのを現在の目標としております。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
10 | Re^5: フリーなPLDの書きこみツール | コメント数: 4件 |
こへい | kubotak@takano-net.co.jp | 2001/03/26 (月) 22:43 | |
はじめまして、「こへい」です。 [naxjp]おもしろい企画ですね。期待しています。 是非、XC18V00シリーズに対応させて下さい。 すぐにでも使いたいです。 何かお手伝いできることがあれば、参加します。 ファイルがJEDECではなくMCS-86あたりになるのが大きな違いでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
9 | Re^4: H8のA/Dについて教えてもらえませんか? | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/03/26 (月) 16:04 | |
> ・入力インピーダンスが結構低い? 1-2kオームをドライブしても > 平気なソースが必要。 そうそう、これも重要です。オンチップのADはサンプルホールドタイプ が多く、サンプルキャパシタを充電するために低インピーダンスでドライブ してやる必要がありますね。時間をかければ高インピーダンスでも良いの でしょうが。昔、充電時間が足らなくてとんでもない値が出て困ったことが ありました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
8 | Re^3: H8のA/Dについて教えてもらえませんか? | コメント数: 1件 |
ネジきん | htanaka@ss.iij4u.or.jp | 2001/03/26 (月) 08:54 | |
こんにちは。 追記します。 > 回路設計上で気にするのはADに与える電圧です。 業務用ではないとお見受けするので余談かもしれませんが。 ・入力インピーダンスが結構低い? 1-2kオームをドライブしても 平気なソースが必要。 ・Vrefはあまり低く出来なかったような。(精度がいらなければVCC=VREFで) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
7 | Re^6: オンボード通信 | コメント数: 0件 |
ももせ | 2001/03/25 (日) 01:22 | |
http://www.momose.com/ | ||
> 非同期シリアル = RS-232C > っていう表現、結構多いですよね。特に多いのが > D-SUBじゃないコネクタで RS-232Cと称している > モデムとか。 本当は RS-232C って同期転送もあったと思うのですが。 コネクタに関しては、今時9ピンDSUBでも巨大低密度すぎて 嫌われる傾向にありますね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
6 | Re^2: H8のA/Dについて教えてもらえませんか? | コメント数: 0件 |
KO-1 | ko-1@cty-net.ne.jp | 2001/03/25 (日) 01:21 | |
どうも早速、ありがとうございます。 参考になりました。 もう一度本などを参考にやってみます。 どうもありがとう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
5 | Re^2: H8のA/Dについて教えてもらえませんか? | コメント数: 2件 |
ももせ | 2001/03/25 (日) 01:18 | |
http://www.momose.com/ | ||
長くなるので切りました。 回路設計上で気にするのはADに与える電圧です。 通常はADにリファレンス電圧というものを与え(そのような端子があるはず)、 被測定電圧/リファレンス電圧の比がADの結果になります。入力する電圧が 小さければADのダイナミックレンジを有効に使うために、入力電圧をオペア ンプで増幅したりする必要もあります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
4 | Re: H8のA/Dについて教えてもらえませんか? | コメント数: 4件 |
ももせ | 2001/03/25 (日) 01:14 | |
http://www.momose.com/ | ||
初めましてももせともうします。 > たとえば、センサーが5Vの時にはこんな動作を2.5Vの時にはこんな感じに > と、プログラムしてみたいのです。 #H8のADは使ったことないですが。 オンチップのADは制御レジスタと結果レジスタがあると思います。 制御レジスタにAD変換開始などのシグナル(ビット)を与えます。 すると、結果レジスタにAD結果が入ってきます。開始〜AD終了 までの時間には注意です。AD変換時間はふつうゼロではありません。 あとは結果レジスタを読み込んで、その値で判定すればいいだけです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
3 | Re^5: オンボード通信 | コメント数: 1件 |
chan | chan@elm-chan.org | 2001/03/25 (日) 01:08 | |
非同期シリアル =
RS-232C っていう表現、結構多いですよね。特に多いのが D-SUBじゃないコネクタで RS-232Cと称している モデムとか。 #パソコン本体のコネクタ形状は規定されていない #はず... |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
2 | H8のA/Dについて教えてもらえませんか? | コメント数: 5件 |
KO-1 | ko-1@cty-net.ne.jp | 2001/03/25 (日) 01:06 | |
最近、ロボットに興味をもちAKI
H8を購入して色々本なども読み勉強中です。 それで、どうしても解らなくて困っているのが、A/Dのことなんです。 Cでプログラムをやり始めているのですが、たとえばシャープのPSDセンサーで 距離を認識させて、0から5Vの間で可変しているのは解るのですが、どんな ふうにプログラムしたら好いのかわからないのです。 たとえば、センサーが5Vの時にはこんな動作を2.5Vの時にはこんな感じに と、プログラムしてみたいのです。 今年に入ってからやり始めたまったくの初心者で申し訳ありませんが、何か アドバイスいただけませんか? 本当に、初歩的なことですみませんがよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
1 | Re^5: オンボード通信 | コメント数: 1件 |
JUN猫 | 2001/03/24 (土) 23:44 | |
最初に質問した本人じゃが(笑)、その通りでござる。 結構いいかげんに用語使ってるよにゃー。 規格って、本になってるのかなあ?一般人が買えるように。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
より新しい記事へ
← 497 件の投稿中 100件目〜1件目を表示 → より前の記事へ |
Copyright(C) 1999 NIFTY Corporation All Rights Reserved. |