なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 404 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re^3: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  2件
  Daisuke!   | daisuke_handa@hotmail.com 2004/05/31 (月) 16:23
 http://park10.wakwak.com/~daisuke_elec
> みなさん、GOが根本的にPIN配置をまちがえておりました!
> 、まことにすみません。。特に、Daisukeさん、ありがとう!

いや〜、お役にたてて嬉しい限りです。!(^^)!


> おわび&説明:
>
> 1.
> GOはこのごろいろ、色々なレギュレータを使っているので、この
> 旧プラスチックモールドタイプは素直な左入力、中0V,右出力で
> 配線してました。。
>
> 2.
> すぐ、配線やり直しをし、再製作してみます。
>
> 3.
> なひたふさんへの注文は、そのまま4個注文をさせていただきます。
> よろしく、お願いします。
>
> 4.
> また、317の出力電圧が1.5Vにでるか、基板がうまくうごいたか
> 実験報告をいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re^3: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  Daisuke!   | daisuke_handa@hotmail.com 2004/05/31 (月) 16:18
 http://park10.wakwak.com/~daisuke_elec
> こんにちはDaisuke!さん
こんにちわ!

> 本当に昨日、今日と暑いですね。昼前に今年初めてエアコンが入りました。(^^;
ですね〜。暑くて、PCを見るのも嫌になりそう...

> > #プロに近い人たちは、まさかこんな勘違いはしないと思いますが、似たような現象を体験した事があるというだけですので...
>
> 人間だから誰でも間違う時はありますよ。(^^;
> プロだって電源の接続忘れや、ピンの間違え(180度回転なんか)もよくあります。
まあ、そりゃそうですね。
自分は、LEDを逆に付けて、1週間悩んだ事があります。(爆)

> 私の試作基板のフェーズを以下に示します。
>
> 1.回路図作成
> 2.部品配置検討(部品配置とICの接続を検討)
>   (このとき部品のデータシートなどからピン配置を確認)
> 3.部品実装及びジャンパー作業。
> 4.一度頭を空っぽにしてパターンチェックを実施。
> 5.通電前に電源、グランドのショートチェ...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  3件
  GO 2004/05/31 (月) 16:13
みなさん、GOが根本的にPIN配置をまちがえておりました!
、まことにすみません。。特に、Daisukeさん、ありがとう!

おわび&説明:

1.
GOはこのごろいろ、色々なレギュレータを使っているので、この
旧プラスチックモールドタイプは素直な左入力、中0V,右出力で
配線してました。。

2.
すぐ、配線やり直しをし、再製作してみます。

3.
なひたふさんへの注文は、そのまま4個注文をさせていただきます。
よろしく、お願いします。

4.
また、317の出力電圧が1.5Vにでるか、基板がうまくうごいたか
実験報告をいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  1件
  軽石 2004/05/31 (月) 16:08
こんにちはDaisuke!さん

本当に昨日、今日と暑いですね。昼前に今年初めてエアコンが入りました。(^^;

> #プロに近い人たちは、まさかこんな勘違いはしないと思いますが、似たような現象を体験した事があるというだけですので...

人間だから誰でも間違う時はありますよ。(^^;
プロだって電源の接続忘れや、ピンの間違え(180度回転なんか)もよくあります。


私の試作基板のフェーズを以下に示します。

1.回路図作成
2.部品配置検討(部品配置とICの接続を検討)
  (このとき部品のデータシートなどからピン配置を確認)
3.部品実装及びジャンパー作業。
4.一度頭を空っぽにしてパターンチェックを実施。
5.通電前に電源、グランドのショートチェック。
6.通電(電圧確認)
7.動作確認。

時間がない場合には部品配置図を行わないで、実装を行う場合がありますが
仕上がりなどは美しくできません。
間違いも多いです。(>o<)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  ななし 2004/05/31 (月) 15:47
> > 現在、サイクロンEP1C6基板を自作してますが、定番
> > の317なるICで推奨値でやってみると,開放では1.5V
> > ありますが、サイクロン基板につなげ、負荷をかける
> > と、1.02Vくらいになってしまいます。。どうしてで
>
LM317のADJピンと抵抗をはさんだGNDピン電圧はちゃんと1.233Vぐらいに
なってますか?

ADJピンが1.233V程度でないと,出力はちゃんとでてきませんよ.

あと,リファレンス抵抗は可能な限り小さくしましょう.
せめて100オーム程度.
これが大きくなると,Iadj(調べてね)xRでドロップが大きくなります.
とはいえ,500mVちかくもドロップすることは,まずありえませんが....
#1Kとか2Kとか入れている回路図を見ますが,これは誤った使い方です.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 Re: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  7件
  Daisuke!   | daisuke_handa@hotmail.com 2004/05/31 (月) 15:22
 http://park10.wakwak.com/~daisuke_elec
> 現在、サイクロンEP1C6基板を自作してますが、定番
> の317なるICで推奨値でやってみると,開放では1.5V
> ありますが、サイクロン基板につなげ、負荷をかける
> と、1.02Vくらいになってしまいます。。どうしてで

前に、1.5Vを作ろうとして317を使った時に、同じような現象が発生しました。
しばらく調べてみたら、真ん中のピンをADJと勘違いしてました。 入出力のピンとかは忘れましたが、7800シリーズのピン配置と勘違いして作ると、負荷によって電圧が下がりますが、その辺は大丈夫ですよね?

#プロに近い人たちは、まさかこんな勘違いはしないと思いますが、似たような現象を体験した事があるというだけですので...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  なひたふ 2004/05/31 (月) 15:22
こんにちは
このところ暑い日が続きますね

> > 出力側の抵抗を120、300、1000オームと変えても改善しません。。
> ちょっと私も電源回路を検討する上で確認してみました。
> ここで書かれている抵抗は何処の値でしょうか?

私もこの抵抗がどの抵抗を指しているかかわからなかったので、
この抵抗値が正しいかどうかは考えていません。

> 5V、3A電源の容量が小さいのではなく、317には負荷が重過ぎる
> ということです。
LM317は放熱をちゃんと行えば、1.5AくらいまでOKですよね?
よほど大きなFPGAでない限り、それ以上の電流を電源が
消費するとは考えにくいです。

2.5Vのときにも上手くいかなかったということは、
何かLM317の使い方に問題がある可能性が高いです。
まずは、各点の電圧をお教えください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  1件
  軽石 2004/05/31 (月) 15:04
> 出力側の抵抗を120、300、1000オームと変えても改善しません。。


ちょっと私も電源回路を検討する上で確認してみました。

ここで書かれている抵抗は何処の値でしょうか?
参考回路図どおりだとするとR1抵抗の事でしょうか?
R2の抵抗値は幾つでしょうか?
入力電圧は何Vでしょうか?
入力電源はどうやって供給しているのでしょうか?
(ACアダプタなどをお使いであれば供給できる容量について確認しましょう)

部品はなひたふさんに頼むのであればすでに意味がありませんが、
今後の為にデータシートの回路番号でそれぞれ何Ω及び何UFの部品を使ったか
よろしければお教え願います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  GO 2004/05/31 (月) 14:45
ありがとうございます!

1.
先回も2.5Vの定電圧をつくるときうまくいきませんでした。
まことにすみませんが、近い将来にためにこの1.5Vーレギュ
レータを4個注文させていただけませんか?

2.
今回、317は無負荷なら1.5Vあったものが、サイクロン基板
をつけると0.87Vくらいになってしまいます。。とにかく、
5V、3A電源の容量が小さいのではなく、317には負荷が重過ぎる
ということです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  1件
  なひたふ 2004/05/31 (月) 14:14
こんにちは

> 1.5Vと1.8Vの定電圧レギュレーターを売っている店を
> どなたか、ご存知ですか? 若松も千石電商もうって
> いないようです。
秋葉の店にはまずないでしょう。
近々RSコンポーネンツに部品を発注しますが、
1個か2個ついでに注文しておきましょうか?

> と、1.02Vくらいになってしまいます。。どうしてで
> しょうか? 出力側の抵抗を120、300、1000
> オームと変えても改善しません。。基板はショートは
> ありません。。基板は熱くなりません。

考えられる原因は3つほどあります。
1. 負荷が重すぎて、LM317の電源の入力が電圧降下した。
2. 負荷が重すぎて、LM317のドロップアウトが大きくなった。
3. 負荷をつなぐことで、LM317のVADJ端子の電圧が変化した。

LM317は、VOUTとVADJの間を1.2Vにしようとして働く、
可変抵抗のようなデバイスです。
VADJが下がると出力電圧も下がります。

負荷をつなぐ前と後で、LM317の各端子の電圧や
抵抗をつないでいる点の電圧がどのように変わったかを
チェックしてみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^2: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  GO 2004/05/31 (月) 14:13
軽石さん、いつも、ご親切にありがとう!

報告:

0.
共立もサトー電気も、ちょっとページが見にくいので普段、使ってなく
度忘れしてました。早速さがしたら、1.8Vレギュレータは確かにあ
りました。しかし、1.5Vは無いようです??。もっとさがしますが。


digikeyは、2,3品を買うにはたかすぎるので最後の選択肢です。、

2.
IC−317は10個以上ありますが、なかなか2.5Vをつくるときも
微妙で使いにくかったです。今回、5V、3A容量の入力を与えても1.03
Vの出力しかでません。。317は熱くなりません。

3.
回路図は、当方のものを掲載できる仮のサイトはちょっとみあたりません。
回路図は残念ながら、ヒューマンデータさんのCYCLONE基板用のもの
〔EP1C6Q240C8−2〕しかNETでは見れません。これを参照してます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  1件
  軽石 2004/05/31 (月) 13:36
今自分の部品を探していて気が付きましたdigikeyがありますよ。

ここだと大抵の部品が入手できます。

5000円以下だと手数料が高い(2000円)かかるのがネックですが、
必要なデバイスを短期間(1週間程度)で入手できるのでお勧めです。

余分に買わなければならない時には同一部品を共同購入すれば手数料を下げる
事は可能かもしれません。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^3: フォトダイオードの件 コメント数:  17件
  軽石 2004/05/31 (月) 13:14
質問するのも結構ですが、みなさんの質問にも答えてください。

> オフセット電圧を調べるのはオペアンプに電源を入力してからなのでしょうか?

電源がONされていない状態で測定して何の意味があるのでしょうか?
抵抗を計ろうとは誰も言っていません。

> 電源を入れ±両入力端子をグランドに落として、出力電圧を測ると、電源電圧の値が出てきてしまいました。

なぜグランドに落とすのでしょうか?
オペアンプの基本をよく判っていないと思われますので、今一度Webや書籍で勉強しなおした方がよいと思います。

とにかくモウモウさんの作られた回路の回路図がわからない情況ではどんな人でも
的確なアドバイスはできません。

例)
H8を回路を設計しました。どうやってもROMのアドレスが読めません原因はわかる方おねがいます。
(今私の手元にある回路のミスをモウモウさんがわかりますか?)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re: 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  0件
  軽石 2004/05/31 (月) 12:57
こんにちはGOさん

> 1.5Vと1.8Vの定電圧レギュレーターを売っている店を
> どなたか、ご存知ですか? 若松も千石電商もうって
> いないようです。

検索しているWebSHOPは他何処でしょうか?
共立エレやサトー電気をチェックしましょう。

> 負荷をかけると、1.02Vくらいになってしまいます。。どうしてで
> しょうか? 出力側の抵抗を120、300、1000
> オームと変えても改善しません。。基板はショートは
> ありません。。基板は熱くなりません。

317Tの入力電圧は何Vでしょうか?
温度を気にするのは基板ではなくICです。
しかも熱を気にするのは317Tです。

出力電圧が下がるのは大抵供給側の容量不足が原因です。
思ったよりサイクロンは消費電流が多いのかも?

情報が多いほどアドバイスは的確になります。
回路図と現物の写真(実装情況)両方があれば完璧ですがとりあえず
回路図だけでもどこか見られる所にアップねがいます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 1.5Vと1.8Vの定電圧レギュレータ? コメント数:  15件
  GO 2004/05/31 (月) 11:51
  こんにちわ、GOです。

1.5Vと1.8Vの定電圧レギュレーターを売っている店を
どなたか、ご存知ですか? 若松も千石電商もうって
いないようです。

現在、サイクロンEP1C6基板を自作してますが、定番
の317なるICで推奨値でやってみると,開放では1.5V
ありますが、サイクロン基板につなげ、負荷をかける
と、1.02Vくらいになってしまいます。。どうしてで
しょうか? 出力側の抵抗を120、300、1000
オームと変えても改善しません。。基板はショートは
ありません。。基板は熱くなりません。
。。。。よろしく、お願いします。。。。。。。。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re^10: AMラジオの選局について コメント数:  2件
  個人研究者 2004/05/31 (月) 11:28
こんにちは。
もう終わってるみたいなので、完全に蛇足になりますが。。。

回路図のエミッタ接地は増幅率が1倍になっているが気になりますが、電源電圧が1.5Vであることと入力が100mVp-pあることを考えるとかなりシビアで、あまり定数変更の余地はなさそうですね。(ベース電位0.9V、コレクタ電位1.2V:2倍までは行けるか?)

昔は米軍の放送(VOA)の電波が強すぎて、近くの鉄線に誘起した電圧で感電したという事故もどこかであったらしいですね。電波の電力もバカにならないです。

市販のラジオはスーパーヘテロダイン方式なので、中間周波トランスの同調で選択度が上がります。そちらのほうも調べてみてはいかがかと思います。

強すぎる電波を選択的にグランドに落とすというのはとても面白いアイディアですね。さすがです。これなら大幅な改造もいらないし、興味深い実験ができて良いと思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re^2: フォトダイオードの件 コメント数:  18件
  モウモウ 2004/05/30 (日) 14:55
皆さん、ご意見ありがとうございます。

オフセット電圧を調べるのはオペアンプに電源を入力してからなのでしょうか?
電源を入れ±両入力端子をグランドに落として、出力電圧を測ると、電源電圧の値が出てきてしまいました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re^9: AMラジオの選局について コメント数:  3件
  なひたふ 2004/05/30 (日) 12:13
こんにちは

同調回路以降の回路が直接電波を拾っているのだと思います。

金属の箱に入れてみたり、長く引き伸ばされている配線が
ないかどうか確認してみてください。

どうしてもだめなら・・・
NHKの周波数の信号をGNDに逃すための
直列共振回路を検波の前か後にいれてみては如何でしょうか?
(そんな簡単には上手くいかないかもしれませんが・・)

カノッサについて
「カノッサ」と「はらたいらさん」で検索するといいかも。
昔のクイズ番組で、カノッサは賭け金の単位だったとおもいます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re: フォトダイオードの件 コメント数:  0件
  なひたふ 2004/05/30 (日) 12:02
こんにちは

> フォトダイオードの受光面をすべて覆い、出力をとってみると、
> −0.2mV程度出力が出てしまいます。
> これは何による影響なのでしょうか?

オペアンプか何かでI-V変換しているのだとは思いますが、
出力が-0.2mVということは、入力はnA〜μAのオーダーですよね。

基板の上の漏れ電流の影響も無視できませんし、
オペアンプのオフセット電圧やバイアス電流が
影響している可能性もあります。
またダイオードの暗電流かもしれません。

みなさんがおっしゃるように、まずはダイオードを外して
それでも電圧が出力されるかどうかを確認しましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: フォトダイオードの件 コメント数:  0件
  軽石 2004/05/30 (日) 08:30
こんにちはモウモウさん。

> フォトダイオードの受光面をすべて覆い、出力をとってみると、
> −0.2mV程度出力が出てしまいます。
> これは何による影響なのでしょうか?

条件が不足しているので断言はできませんね。

1.電圧の測定はどのような測定機を使ったのでしょうか?
  (mVオーダーの測定はよほど良い測定機でないと誤差の範囲内です)
2.フォトダイオードがない状態(電流0A)とある場合の相関はどうでしょうか?
3.覆いはどうやったのでしょうか?紙などでは光が通りぬけてしまいます。
  (夜電気を消し、真っ暗な状態で測定してみたらどうでしょうか?)
  「この部分を確認する為に0A状態で測定する事をお勧めします」
4.アンプ回路(定数)が不明ですが、抵抗などの誤差はどの程度見越していますか?
  (高精度な測定を5%抵抗で回路を組み立てるのは無謀です)

最後に

この手質問をされる時にはレポートを書くつもりで状況報告を出来るようになりましょう。
当然この掲示板では書き切れないのでフリーのwebスペースを準備して図や文章、考察などを書くと問題解決が早いですよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re: フォトダイオードの件 コメント数:  19件
  とみゅ 2004/05/30 (日) 01:38
> フォトダイオードの受光面をすべて覆い、出力をとってみると、
> −0.2mV程度出力が出てしまいます。

回路図なしではよくわかりませんが、フォトダイオードを外しても、ということなら、OPアンプのオフセット
http://www.cypress.ne.jp/f-morita/parts/ic/offset.html
とか、微小電流領域だと基板上のリーク電流(基板は完全な絶縁体じゃないし、半田付けで使うフラックスは微小電流領域では結構いやな奴です)とか、考えることはいろいろあるような気がします。
フォトダイオード自身も暗電流という特性があるようですから、手で覆っただけではなんとも言えないかも。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 フォトダイオードの件 コメント数:  22件
  モウモウ 2004/05/29 (土) 23:17
初めまして。
学生のモウモウと申します。
今フォトダイオードを用いたセンサを作っているのですが、
フォトダイオード(浜松ホトニクスのS1227-1010BQ)の出力をオペアンプを用いて
I-V変換し、電圧値で出力を取っているのですが、
フォトダイオードの受光面をすべて覆い、出力をとってみると、
−0.2mV程度出力が出てしまいます。
これは何による影響なのでしょうか?
よろしくお願い申し上げます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re: 自作EAGLE基板の製作2 PIN間2本の信号線 コメント数:  0件
  くまがい 2004/05/29 (土) 13:58
初めまして。
東北学院の熊谷と申します。
たまたま、ログを見ていて、こちらから大量のRefererが来ていて、お伺いした次第です。

さて、本題ですが、すでになひたふ様がご指摘の通り、ヘッダピンを
通すためのクリアランスの問題です。
私自身、あそこまでトリッキーには線を引きたくなかったのですが、
計算するとOLIMEXの指示に従うとそう計算せざるを得ませんでした。
(楕円ランドがOLIMEX的にありかどうかですが...)
また、あのH8の下駄基板は、手近で入手できたスタックスルーコネクタ
を使用することが前提であったため、あれでも穴がぎりぎりになっています。

なお、ブリッジが発生していたのは、おもに半田メッキ段階のようです。
多くははんだごてで暖めると解消しました。
(が、レジストもとれちゃうのが難です)

以上、たまたまお伺い致しましたので、書き込みいたしました。

追伸:
今年開発したH8トレーニングボード
http://www.mech.tohoku-gakuin.ac.jp/rde/contents/tech/h8train/introduction.html#B5N3NGB
もOLIMEXしましたが、もとページのPCIな基板は近場の電子部品屋さん
経由の国産本職品です。<外形とか金メッキとかいろいろ激しいので。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^8: AMラジオの選局について コメント数:  4件
  加藤sin 2004/05/29 (土) 00:02
 http://f42.aaacafe.ne.jp/~katosin/
> ん〜、前段出力が 100mVp-p 程度なら、そのテストは正しいけど...。
・・・
> # だからイヤホンで聞ける。
聞けるといっても静かな部屋で一人いるとき、な具合です。
工学的に電圧が示せれば良いのですがオシロ無いもので…。

> あ、滑べった ... でも "100 カノッサ" でぐぐったらトップに
おお! 当方「カノッサ」で検索していました。カノッサの屈辱がどうこうって
書いてて意味がわかりませんでした。例えば、「マルチパスフェージング」
を調べるときに「フェージング」で調べるじゃないですか、その要領で
調べてました。(逃

> とりあえず、オシロを学校からガメてくる。オシロのあるなしで
> 習熟曲線がべらぼうに違ってきます。
大学のごみ捨て場から拾った物があるのですが案の定壊れてましてどうにも
ならず、オシロは欲しくてたまりませんが金銭が追いつかずで…。

色々お世話になりました、とりあえず未解決ではありますが、(私見ですが)
完成してる後段以外、もう一度検討してみます。
わがままではございますが、また質問に来たときはどうぞよろしく
お願いいたします。いや、成功報告に来る勢いでがんばってきます!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^7: AMラジオの選局について コメント数:  5件
  かみき 2004/05/28 (金) 23:47
> でしょうか。お答えの通り出力の最大振幅はその程度です。ラジオ
> の入力が大きくても+-100mV程度と考えているので、当方の用件
> を満たすと考えています。

ん〜、前段出力が 100mVp-p 程度なら、そのテストは正しいけど...。
「インピーダンスが高い」のに、前段出力が 200mVp-p ってことは
なかろと思ってたんだけど、じつはそんなもんだったりします?
前段出力 1Vp-p 近くあると見てたんだけど。
# だからイヤホンで聞ける。

> > で、想像より大きな音で聞こえるってのに 100 カノッサ。
> すいません、カノッサの調べたのですが意味がわかりません。

あ、滑べった ... でも "100 カノッサ" でぐぐったらトップに
出てきた。検索の仕方も要修行 :-)

> 当方どのようなところから勉強しなおしたらよいでしょうか。

とりあえず、オシロを学校からガメてくる。オシロのあるなしで
習熟曲線がべらぼうに違ってきます。
なしでもなんとかなるやろと思ってた時分には想像もつかないほど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^6: AMラジオの選局について コメント数:  6件
  加藤sin 2004/05/28 (金) 22:59
 http://f42.aaacafe.ne.jp/~katosin/
たびたびのご返答有難うございます。
> 出力は 0.3V 前後、増幅されてるようにみえるということはテストでの
> 入力は 0.1V 以下。この領域で動くのは目の子でもなんとなくわかる。
つまり、入力の振幅が大きく異なる環境で試しても意味をなさないということ
でしょうか。お答えの通り出力の最大振幅はその程度です。ラジオの入力が
大きくても+-100mV程度と考えているので、当方の用件
を満たすと考えています。


> これもだめ。前段だけを確認するなら、前段の出力のトコに直接イヤホン
> つけて確認すべき。後段は「ちっちゃな音」 → 「聞こえる音」、
> に増幅したんだから、所定の動作ならば、前段だけでも
> 「ちっちゃな音」として聞こえなければならない。
インピーダンスが高いがそのままで確認すべき、よってクリスタルイヤホン
で試聴すべき、ということでしょうか。

> で、想像(or 予定)より大きな音で聞こえるってのに 100 カノッサ。
すいません、カノッサの調べたのですが意味がわかりません。

> > 混信は同調以後の回路が原因でしょうか.
当方どのようなところから勉強しなおしたらよいでしょうか。
質問ばかりですいません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^5: AMラジオの選局について コメント数:  7件
  かみき 2004/05/28 (金) 22:13
> 確認時は,PCのヘッドホンOutの音量を小さくし音楽をかけ
> この後段のアンプをつける場合と直の場合で増幅されていることを
> 確認しました.

あー、これはだめ。これでは後段がアンプとして働く領域ではアンプとして
働く ... ということがわかるだけ。
出力は 0.3V 前後、増幅されてるようにみえるということはテストでの
入力は 0.1V 以下。この領域で動くのは目の子でもなんとなくわかる。

> 前段の確認では後段の完成した(と思っている)アンプにて
> ヘッドホン,スピーカにて確認しています.

これもだめ。前段だけを確認するなら、前段の出力のトコに直接イヤホン
つけて確認すべき。後段は「ちっちゃな音」 → 「聞こえる音」、
に増幅したんだから、所定の動作ならば、前段だけでも
「ちっちゃな音」として聞こえなければならない。

で、想像(or 予定)より大きな音で聞こえるってのに 100 カノッサ。

> 混信は同調以後の回路が原因でしょうか.

たぶん。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re^4: AMラジオの選局について コメント数:  8件
  加藤sin 2004/05/28 (金) 21:26
ご返答ありがとうございます.
> まず。図の各部・・・・・定の動作になるのかが分からんです。
すいません,慌てて用意したので誤り・落度があります.
お察しの通り1.5は1.5kです.
電源が低いので大きな出力は得られませんがヘッドホンでなる程度
を目標にしました.確認時は,PCのヘッドホンOutの音量を小さくし音楽をかけ
この後段のアンプをつける場合と直の場合で増幅されていることを
確認しました.性能は兎も角アンプとしては機能していると思ってます.

> それと、前段後段の動作確・・・・・どうやったのかとか。
前段の確認では後段の完成した(と思っている)アンプにて
ヘッドホン,スピーカにて確認しています.
あと,自分で気づいたのが,倍電圧検波なのにFET-ドレインとGeDの
間のコンデンサが欠けていました.

> # まだ賭ける・・・・った 510ohm が大きすぎることに一点賭け。
ドレイン抵抗はVRにて確かめながら510ohmぐらいでいけると思い
固定抵抗510をつけました.

混信は同調以後の回路が原因でしょうか.
ABCやOBCの間のチューニングでもNHKが混信してきます.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^3: AMラジオの選局について コメント数:  9件
  かみき 2004/05/28 (金) 20:11
こんばんは。

まず。図の各部品にはラベル貼る習慣を。
1 個目のトランジスタのコレクタの抵抗 ... なんつーてたら
手間かかってしょうがない。
で、そのコレクタ抵抗が 1.5ohm って正しいですか?
アッテネータになってる気が ...。
1.5kohm だと増幅率のわりに電源電圧が低くて音が歪み
そうで、どう直したら所定の動作になるのかが分からんです。

それと、前段後段の動作確認ってどうやって確認されましたか。
後段の動作確認で、入力に何を繋いだのかとか、
前段の動作テストって後段なしでどうやったのかとか。


# まだ賭ける気にはなんないが、いまんとこは
# ドレインに入った 510ohm が大きすぎることに一点賭け。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^2: AMラジオの選局について コメント数:  10件
  加藤sin 2004/05/28 (金) 14:02
 http://f42.aaacafe.ne.jp/~katosin/
> こんにちは加藤sinさん
早速のご返答有難うございます。

> この文章だけで使用した部品、回路構成を加藤sinさんは想像できますか?
> (私はできませんでした。)
主眼はラジオ部分であると思ったのと文字制限を考え全体像は概要だけにしました。
失礼いたしました。

> 自分で設計した回路の回路図を提示する事で参考にした書籍…のWebサービスを使ったりすれば公開はできます。
早速対応させていただきました。
http://f42.aaacafe.ne.jp/~katosin/radio.jpg
で、ご覧頂けるかと思います。

> あと、近くに送信アンテナ…に近い/遠いの設定があったと記憶しています。
言われてみれば山の下の場所なので、直接波と反射波などマルチパスの
影響があるかもしれません。

> 電子マスカット…
ゲルマラジオでの実験では、このサイトのイヤホンと並列に510kと積セラ0.01uFを
つけました、アースは目標の携帯ラジオを想定しているためにつけずに実験しました。
それでもチューニングしてもNHKが混信してきます。
レベルの低い内容で申し訳ないですがご教授していただける方、
どうぞよろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re: AMラジオの選局について コメント数:  11件
  軽石 2004/05/28 (金) 12:59
こんにちは加藤sinさん

ラジオは範囲外なのですが、参考程度のアドバイスを一つ。

> こんにちは。
> 当方電子工学科の学生で、自分で回路設計したく色々と自習
> している者です。

ええですね。がんばってください。

>[1]ゲルマラジオ+[2]RF増幅(できればFET)+[3]E接地増幅+[4]Eフォロワ
>の構成でラジオを作ろうと思っています。

この文章だけで使用した部品、回路構成を加藤sinさんは想像できますか?
(私はできませんでした。)

自分で設計した回路の回路図を提示する事で参考にした書籍を持って
いない人でもアドバイスができるようになります。

回路図の公開については無料のWebサービスを使ったりすれば公開はできます。

あと、近くに送信アンテナなどがあると電波が強すぎるという事を聞いた事があります。

最近はないですが、昔のTVは送信アンテナに近い/遠いの設定があったと記憶しています。

電子マスカットさんの所にゲルマラジオのミニマム値があります。まずは最低限の状態で確認されてみていはいかがでしょうか?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 AMラジオの選局について コメント数:  12件
  加藤sin 2004/05/28 (金) 11:45
こんにちは。
当方電子工学科の学生で、自分で回路設計したく色々と自習
している者です。
色々と調べたり実験もしたのですが、どうも理解に繋がらず
質問することにしました。

[1]ゲルマラジオ+[2]RF増幅(できればFET)+[3]E接地増幅+[4]Eフォロワ
の構成でラジオを作ろうと思っています。
[3][4]はCQの定本でできました。
[2]はCQ続定本のゼロバイアスの方法(P72)で2SK30ATMにして
できました。

[1]なのですが、どうもバリコンをどうしてもNHKがなってしまいます。
Qが悪いマイクロインダクタのせいだと思い、バーアンテナにしたのですが
相変わらずでした。アンテナ長は10cmか5mのどちらも信号の大きさが変わる
程度で混信が酷いです。酷いのはバリコンを外してもNHKがなります。
LC共振を構成できないはずなのに鳴ってしまいます。
回路は1構成ずつ試しています。

ラジオの選局を改善する方法、参考書籍ありましたらばご教授願いたく
書きこみさせていただきました。どうぞよろしくお願いいたします。
参考本は、(すべてCQ)定本Tr回路設計、続定本Tr回路設計、
ラジオワイヤレス回路の設計・製作が主です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^4: 4ビット加算器の加算時間について コメント数:  0件
  Toshi 2004/05/27 (木) 00:40
halkunさん、どうもありがとうございます。

現在、大学の実験でこの勉強をしていて、来週くらいに、
この4ビット加算器を4個使って、16ビットの加算器を設計し、
シミュレートします。

このときに下位ビット加算器のキャリーアウトを上位4ビット加算器の
キャリーインに入力します。

4ビットの時に比べて、出力が増えて、難しくなりそうですが、
ここで、皆さんに教えていただいたことなどを使って、頑張ります。

皆さん、どうもありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re^3: FPGAの独習(ツール+Verilog)方法について コメント数:  2件
  軽石 2004/05/27 (木) 00:10
>  書名をお教え頂けると幸いです。

デザインウェーブの8月増刊号 「FPGA/PLD設計スタートアップ」でした。
(2002年発行)

この本の中でQuartusUの簡単な使い方がかかれていますので参考になると思います。
中で使われている言語はHDLですので言語としては合いませんが、考え方などは比較的わかりやすく書かれているのでその部分を見るようにしてください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re^3: 4ビット加算器の加算時間について コメント数:  1件
  halkun   | halkun@msn.com 2004/05/26 (水) 23:32
こんにちはToshiさん、& 皆さん、初めまして。

> 仮にそうだとしたら、a が 0011 で b が0001 のときと
> a が 0001 で b が0011 のときとでは加算時間が違うのでしょうか?

基本的にはどちらの場合でも同じです。
厳密に計算すると、NMOSやPMOSで(NPNやPNPで)反応速度が違うので接続の仕方で異なるでしょう。
また、4ビット加算器の作り方によっては、桁上げの時間を早くする工夫(キャリールックアヘッド等)をしたものがあり、回路を見ないと加算速度は判断できません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re^2: 4ビット加算器の加算時間について コメント数:  2件
  Toshi 2004/05/26 (水) 18:37
軽石さん、ありがとうございます。

加算数と被加算数というのは、a + b → c
ならば、a が被加算数で、b が加算数でいいのでしょうか?

仮にそうだとしたら、a が 0011 で b が0001 のときと
a が 0001 で b が0011 のときとでは加算時間が違うのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re: 4ビット加算器の加算時間について コメント数:  3件
  軽石 2004/05/26 (水) 18:17
こんにちはToshiさん

だれもレスしないみたいんですので、暫定レスします。

> 4ビット加算器で加算数と被加算数の値で加算時間がどのように変わるのかを
> 教えてほしいのです。
>
> 分かる方よろしくお願いします。

すみませんが質問の内容を絞っていただけますか?
総てのパターンについて教えなければならないのでしょうか?
(だれもわからないと思います)

加算時間はビットを加算した結果桁上がりが生じると遅くなります。では駄目ですか?
一番早いのは桁上がり無し・・・・だと思いますけど・・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re: 秋月のARMボード コメント数:  0件
  軽石 2004/05/26 (水) 17:25
> 一部で噂の秋月のARMボードですが、発売はまだですかね。
> 入手された方はいますか?

パーツ手配などで検索してふと秋月のWebShopで販売しているか確認してみましたが
販売されていません。

店頭販売だけなのでしょうか?
それともバグなんかで発売延期なのかな?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^3: FPGAの独習(ツール+Verilog)方法について コメント数:  0件
  軽石 2004/05/26 (水) 10:55
>  書名をお教え頂けると幸いです。

デザインウエーブのバックナンバーなんですが、号数は発掘してからお教えします。
(買えるか不明ですが・・・)

> > Verilogは技術評論社の「実用入門デジタル回路とVerilog-HDL」で基礎からやられるのが
> > よろしいでしょう。
>  早速、近所の本屋に注文しました。

CMOSでロジック設計をやっている方だったら基本過ぎるのでまずかったかも?
CQ出版で入門用の改訂版が5月31日に発売されるみたいですのでこちらがお勧めかも?


>  現在、飲み代を節約して、費用捻出中です。

どの程度を目標にされているのでしょうか?
ライターは落合さんの所で手に入れて、ターゲットデバイスなどはネットワークシステムズで購入するか、オリジナルマインドでジャンク基板が売られているので
そちらで入手すれば1万円位でアルテラチップとライターが手に入ります。

3回我慢すれば環境はそろいそうですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 4ビット加算器の加算時間について コメント数:  4件
  Toshi 2004/05/25 (火) 22:32
4ビット加算器の加算時間について調べています。
いろいろしらべていたら、キャリーイン、によって和の出力が異なること、
また、桁上げが多いほど、加算時間が長くなることが分かりました。
ひとつ、どうしても分からないことがあります。

4ビット加算器で加算数と被加算数の値で加算時間がどのように変わるのかを
教えてほしいのです。

分かる方よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re^2: FPGAの独習(ツール+Verilog)方法について コメント数:  4件
  みかりん  | zank015@ybb.ne.jp 2004/05/25 (火) 21:07
軽石さん有難う御座います。

> 論理回路についてどれくらい知識があるか判らないのでなんともいえませんが、

  PICとかCMOSとかを使って、回路は作っています。

> ツールの使い方についてはCQ出版社から出ている書籍が良いと思います。
> どれといわれるとツールのバージョン変化に追いついていないので以前私が紹介した
> 雑誌でよかったと思います。
 Quartus II Web Edition バージョン 4.0です。
 書名をお教え頂けると幸いです。

>
> Verilogは技術評論社の「実用入門デジタル回路とVerilog-HDL」で基礎からやられるのが
> よろしいでしょう。
 早速、近所の本屋に注文しました。
>
> ただし、ツールだけ使っても身につきません。実機を用意して実際に動かす事をお勧めします。
 現在、飲み代を節約して、費用捻出中です。

宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re^3: 超簡易RISC−COREを御紹介ください! コメント数:  0件
  GO 2004/05/23 (日) 14:49
みづきさんへ、大変、ありがとうございます。。

> 簡単ではありませんが、CPUの作り方に関しては下記サイトが参考になる
> http://www.sugawara-systems.com/

大感謝です。。ありがとうございます。じっくり検討してみます。。

一種の、宝の宝庫ですね。。早速、T80のLISTを印刷して眺めてみます。
CYBER-LAB社の主催者であり、CQ出版でのセミナー講師をやっておられる
竹本悟氏もT80のコアを『すぐれています』『研究するように』と誉めて
おられました。

先回紹介した、PCI-UNIVERSAL-INTERFACEの熊谷研究室もまた、LINUXで
の制御に関しての良質の情報を提供してくれてます。。うれしいです。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^2: 超簡易RISC−COREを御紹介ください! コメント数:  1件
  みづき 2004/05/23 (日) 10:11
簡単ではありませんが、CPUの作り方に関しては下記サイトが参考になると思います。

http://www.sugawara-systems.com/

 みづき



>  GOです、自己RESです。
>
> 2年前、少し書き込みをさせてもらった『FPGAでしあわせになろう』
> サイトでは、自作RISC−CPUを完成させて、これをマイクロマウス
> に搭載されてがんばっておられます。。。。大変、参考になります。
>
> 以前もこのRISC−CPUの全FILEをDLして見させてもらってましたが、GOの
> 実力不足でピンときませんでした。現在、BLOCKRAM(DUAL−POT−RAM)
> への書き込み少しはわかるようになって、RISC-CPU自作の良い例だと気づ
> きました。
>
> この方もALTERAを使っておられます。この夏頃、DW-10月号のCYCLONE
> か、自作計画中のEP1C6Q240C8基板でやってみます。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re: 超簡易RISC−COREを御紹介ください! コメント数:  2件
  GO 2004/05/23 (日) 10:00
 GOです、自己RESです。

2年前、少し書き込みをさせてもらった『FPGAでしあわせになろう』
サイトでは、自作RISC−CPUを完成させて、これをマイクロマウス
に搭載されてがんばっておられます。。。。大変、参考になります。

以前もこのRISC−CPUの全FILEをDLして見させてもらってましたが、GOの
実力不足でピンときませんでした。現在、BLOCKRAM(DUAL−POT−RAM)
への書き込み少しはわかるようになって、RISC-CPU自作の良い例だと気づ
きました。

この方もALTERAを使っておられます。この夏頃、DW-10月号のCYCLONE
か、自作計画中のEP1C6Q240C8基板でやってみます。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re: 自作EAGLE基板の製作2 PIN間2本の信号線 コメント数:  0件
  なひたふ 2004/05/23 (日) 00:41
こんばんは

> てしまうとありましたが、2.54mmピッチのPINの半田地を
> 縦方向に楕円形にしてやれば、相当安全に2本の信号線をとおせ
> るのではないでしょうか?

できるとおもいますが、苦しいですね。

基板を作る上で一番気をつけなければならないのは、
ピンヘッダの入る穴の太さです。
これには0.9mm〜1mmくらいは必要です。

ピン間が2.54mmですから穴の大きさを引くと配線領域は1.54mmです。

ヘッダピンのランドを0.254mm(10mil)にして、2つ分を引くと、
配線とギャップの部分で1.032mm使うことができます。
ギャップと配線もそれぞれ8milとすれば、0.016mmを残して
ぎりぎりで入ります。

ピンヘッダのランドが10milしかとれないのが問題ですが、
ピンヘッダではなくレセプタクルの方が刺さるようにすれば、
穴のサイズは0.8mmくらいで済みますので、
パターンとランドに余裕を持たせることができます。
(正しい値は必ずノギスで実測してください)

もちろん、GOさんのおっしゃる通り、
パターンを楕円形にするというのも一つの有効な解決策です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 自作EAGLE基板の製作2 PIN間2本の信号線 コメント数:  2件
  GO 2004/05/22 (土) 23:39
GOです、

PCIボードを巧妙に、安く製作しておられる熊谷研究室の記事で、
H8-3052基板をOLIMEX注文されてました。そこでは、『PIN間』
に2本の信号線を入れておられました。たまに、ブリッジがおき
てしまうとありましたが、2.54mmピッチのPINの半田地を
縦方向に楕円形にしてやれば、相当安全に2本の信号線をとおせ
るのではないでしょうか? GOは将来、これをしたいのです。。
100mmX80mmの面積には、この配線技術がほしいです。。

皆さんは、どう工夫されてますか?  高価な市販基板では2本
などざらですが。。。

参考記事:3052のところです。  http://www.mech.tohoku-gakuin.ac.jp/rde/contents/digital/univif/indexframe.html

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re^4: ハードウエアによるハザードの解消法とは? コメント数:  0件
  GO 2004/05/22 (土) 23:22
なひたふさんへ、ハザードについてですが、

1.
私は、CQ出版社製のSPARTAN2-150基板のマニュアルを見ていたら
『ハードウエア』でハザードを解消しているというので、大変この
基板回路に興味を持ったわけです。。じっくり、みても秘密が良く
わからなかった事は、前回かきました。。私以外に、この5万円の、
LIGHT−COREであるAXASが気に入って、この基板でなく、自作基板
にものせようかという人がAXAS-BBSでおられました。。でも、出現
するハザードが『ハードウエア』で防いである高級基板なら真似で
きない、(つまり、研究する価値がある)と思いました。そこで、
なひたふさんにお聞きした次第です。

2.
現在はハザードには困っておりませんが、ハザードの具体的解決記事
をNET上では、私はほとんど見たことがありません。森岡氏の説明も
まだ製作具体例は少ないです。。自作SRAMを6016やSPARTANU-150に
接続する予定で、将来の困難を避けるためにあらかじめ具体例が聞き
たかったのです。。。。なひたふさんの説明で、少し原則がわかりま
した。。。小林芳直氏の講習会でも具体的説明は少なかったです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re^4: 自作のPCIボードをつくりたい コメント数:  7件
  GO 2004/05/22 (土) 23:06
GOです、良い自作PCIボード情報を得ました!

FLEX6016の特性を見るためにNET検索をしていたら、私がほしっかった
情報ずばりが下記にありました。この記事を参考に自作PCIボードを作って
みようと思います。5万円以下でできますね。もちろん、だいぶ先の、
実力がついた時期にやりますが。。。

http://www.mech.tohoku-gakuin.ac.jp/rde/contents/digital/univif/indexframe.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^2: ネオンランプ コメント数:  0件
  ネオンテトラ 2004/05/22 (土) 21:23
> > ネオンランプは、「負性特性を持つ」とはどういうことなのでしょうか。
http://www.tlm.co.jp/web/gijyutu/zaulas/VI3.jpg
上記の特性は冷陰極管の例ですが、負性抵抗とはつまり、電流が増えるほど電圧が下がる性質を言います。
放電管には一般に共通してこういう特性があります。

> > ランプとしての他に使える特性を持つのでしょうか。
> 過去ログの44番にいろいろとありました。
> ↓まずは御覧下さい。
> http://www.nahitech.com/nahitafu/bbs44.html
発振に使えるんですね。
ならばフリップフロップにも使えますね。実用的かはともかくとして。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re^5: 自作EAGLE基板の製作1 コメント数:  0件
  GO 2004/05/22 (土) 18:05
なひたふさんへ

今回、SUZAKUを予約注文した理由は

1.
このSUZAKUならば、MICROBLAZEを本格的に利用できるから。
。。過去のMICROBLAZE応用記事を、自分はみすごしたからです。
もう、入手できないものが多いです。

2.
2,3年前からOSをLINUXとし、CFつきでSH4基板が販売されて
いるのをトラ技でみて、いつか利用しようと思っておりました。
このSUZAKUは自分のμCLINUXの勉強のために買いました。。。。
自作CPUのためではありません。。。少しは関係するかも?。。

画像もこのSUZAKUのRAM容量なら転送できるとありますので、
画期的です。。GOがいつ利用できるかは、わかりませんが~_~;。。

3.
> PicoBlazeができるまでの解説記事はとても役に立ちます。
> FPGAの中にCPUをいれるにはどうすればよいかと、
> アーキテクチャについて深い考察が繰り返されています。
は、すでに6ヶ月ほど前に読みました。。よくはわかりません
ので、何度も読んでみます。

SUZAKUは「MICROBLAZE応用」「μCLINUX利用」「画像転送能力」
の3点から、購入を決めました。。価格もお値打ちと思います。
(実はいつも覗きにいっているFREEWWWINGさんの影響を受けました~_~;)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re^3: ハードウエアによるハザードの解消法とは? コメント数:  1件
  なひたふ 2004/05/22 (土) 10:09
こんにちは

> ハザードを具体的に解消された方の、製作記事の書き込み
> を待っております。

ハザードは組み合わせ回路に入る入力信号が
2つ以上同時に遷移したときに出ます。

ハザードを出したくなければ1つの信号しか
遷移しないようにすればいいのです。

例えばバイナリカウンタの出力を
デコードする(組み合わせ回路にいれる)回路では
000 001 010 011 100 101 110 111 000 …
と、3->4の遷移や7->0の遷移で大きなハザードが出ます。

しかし、元の信号をグレイコードにすれば
000 001 011 010 110 111 101 100 000 …
同時に遷移するのは常に1ビットですから
デコードしてもハザードは発生しません。

しかし、これでは面倒ですから
if(CLK'event and CLK='1') then
clean_output <= hazardy;
end if;
と、一度レジスタに通してしまえばいいわけです。

ハザードは時間が経てば収束します。次のクロックまでに収束していればいいのです。

なおFPGA回路は元の論理式とは似ても似つかない
回路になっていますので、回路的な技巧は無意味です。
よって、「同時に1遷移」を守るか、出力のレジスタ化がベストです。

ところで、どうしてハザードでお困りなのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re: ネオンランプ コメント数:  1件
  なひたふ 2004/05/22 (土) 09:31
こんにちは

> ネオンランプは、「負性特性を持つ」とはどういうことなのでしょうか。
> ランプとしての他に使える特性を持つのでしょうか。
> よろしく、ご教授ください。

過去ログの44番にいろいろとありました。
↓まずは御覧下さい。
http://www.nahitech.com/nahitafu/bbs44.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re^4: 自作EAGLE基板の製作1 コメント数:  0件
  shirou   | a-u2004@hdl.co.jp 2004/05/22 (土) 08:56
> 0.5mmピッチであればそれにあわせてパットを作って1mm外に出る程度でよいと思います。
> (後で確認しますがこんなもんだと思います)
ぼくもそんなものだと思います。ただ手付けなら外側に長いほどつけやすいです。
外側にハンダが吸い込まれてくれるので、とても簡単になります。
スペース効率が悪くなりますが。
ちなみに、ぼくの会社の製品はBGA以外は100%手付けです。リフローするほどの
数が出ない為です。誤解されがちですが、リフローより手付けのほうがきれいです。QFPに関してはですが。チップコンデンサなどはリフローのほうがきれいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re^3: 自作EAGLE基板の製作1 コメント数:  1件
  軽石 2004/05/22 (土) 00:23
> 2.
> EAGLEの資料を、読んでますが実際に使っておられる方に聞く
> が一番ですね。。144、208,240PINをやっている方の記事を見
> 習いたいです。。FPGAの原寸法と、理想的な基板の寸法とは若干、
> 違いますからGOも慎重にやっております。。理想的なはんだ地
> の考慮がいりますね。動く240基板ができたら、すぐ報告します。
> 夏ごろか?だいぶ先ですね。。。
>
> 以下は、理想的なFPGAパターンレイアウト紹介のサイトです。
> http://www.emulation.com/pdf/f1793.pdf

基板.comではついにPCB−CADの配布をはじめました。
登録すればライブラリーをもらえるみたいですので、参考がてらに見てみてはいかがでしょうか?

一番良いのは発注してあげる事だと思いますが・・・。(^^;

0.5mmピッチであればそれにあわせてパットを作って1mm外に出る程度でよいと思います。
(後で確認しますがこんなもんだと思います)

手付けの際には使いませんが対角線上に位置決めマーク(1mm角)を置くと格好が良いと思います。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^2: 自作EAGLE基板の製作1 コメント数:  2件
  GO 2004/05/21 (金) 23:38
軽石さんへ、GOです

軽石さんが、いよいよ自作SP基板をEAGLEではじめたとお聞き
したので、軽い気持ちで指名させてもらいました。が、この
BBSは特定人のためにあるのではないので、全く、そのとおり
です。広く、みなさんの御意見をお聞きしたいです。。

報告:

1.
CQPICをQUARTUS−4でIMPLIMENNTできたので、東名電子産業
でFLEX6016?240PIN(3500円)を注文し、これでCQPICのコアを
つくろうと思いました。しかし、この6016は内部RAMを持ってお
らず、CQPICはできないのではないでしょうか?〔購入の失敗?)

(でも、一方で3年前から世話になっているFREEWINGサイトで)
(サイクロンのEP1C6Q240C8(8000円!)を注文してあります。)

2.
EAGLEの資料を、読んでますが実際に使っておられる方に聞く
が一番ですね。。144、208,240PINをやっている方の記事を見
習いたいです。。FPGAの原寸法と、理想的な基板の寸法とは若干、
違いますからGOも慎重にやっております。。理想的なはんだ地
の考慮がいりますね。動く240基板ができたら、すぐ報告します。
夏ごろか?だいぶ先ですね。。。

以下は、理想的なFPGAパターンレイアウト紹介のサイトです。
http://www.emulation.com/pdf/f1793.pdf
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re^4: 自作EAGLE基板の製作1 コメント数:  1件
  なひたふ 2004/05/21 (金) 23:17
こんばんは

> ISE6.2−60日評価版つき+EDK6.2版の5万円のを注文し
> ました。これでなんとか開発できます。。程度が高いですが、
素晴らしいです。

> 立っているCQ出版のSPARTANU150基板のように大決断して買いま
> した。。やはり、まずはSTRAWBERRY−LINUXの基板で練習します。

外付けのCPUなら、GOさんはもう十分習得済みかもしれませんね。
FPGAの外にCPUをつなげるのは、とても簡単です。
FPGAの中にCPUが入るのと、FPGAの外にCPUがつくのでは
いろいろな意味で違ってきますから、
FPGAでCPUを作る練習にはあまりならないかもしれません。

XILINXのPicoBlazeのソースコードは読んでも役に立ちませんが、
PicoBlazeができるまでの解説記事はとても役に立ちます。
FPGAの中にCPUをいれるにはどうすればよいかと、
アーキテクチャについて深い考察が繰り返されています。

詳しくはXILINXのサイトのTechXClusiveを検索してみてください。
(途中まで日本語に翻訳されています)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^3: 自作EAGLE基板の製作1 コメント数:  2件
  GO 2004/05/21 (金) 22:55
なひたふさん、軽石さんへ、みなさんへ、GOです。

報告:

1.
> SUZAKUは、WebPACKではなくISEやEDKが必要だそうですが、

ご心配をかけてますが、GOはSUZAKUは特別キャンペーン中なので、
ISE6.2−60日評価版つき+EDK6.2版の5万円のを注文し
ました。これでなんとか開発できます。。程度が高いですが、今役
立っているCQ出版のSPARTANU150基板のように大決断して買いま
した。。やはり、まずはSTRAWBERRY−LINUXの基板で練習します。

2.
GOはアマチュアなので、いろいろなことに一人で気をくばる必要があり、
いろいろ何十冊もの本や基板を買ったり、あれにもこれにも手をだして
いるように見えますが、それでやっと貴重な製作情報がはいるのです。
。。。昔、Z80マイコンにNEC98機のキーボードをつけるときもそうで
した。。。。。ちょっと誤解されてしまうときも、ままあります。。本
当は、手を広げず、最小費用、最短距離で製作、理解したいですね。。
だから無料セミナーもよく行きました。。。。この辺のつらい状況をよ
ろしく、ご理解をおねがいします。。プロの西田亙氏などは何百冊も、
床がぬけるくらい本を買い、素人時代によんだとか。。。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^2: 自作EAGLE基板の製作1 コメント数:  3件
  なひたふ 2004/05/21 (金) 19:12
GOさん、こんばんは

>EPF6016QC240-3は6000円です。どこがどう違うのでしょうか?

最後の-3はスピードグレードだと思います。
一般的に、ロジック等の遅延時間で表すこともありますし、
最大クロック速度を表すこともあります。
数字の大きいほうが良いのか悪いのかはデータシートを読んでみましょう。
スピードが速いデバイスほど、もちろん高価になります。

> を求めていたらSTRAWBERRY-LINUXのH8基板でなく、「SUZAKU」と
> いう基板がお値打ちであることがわかり、注文しました。そのとき、

SUZAKUは、WebPACKではなくISEやEDKが必要だそうですが、
注文時にEDKも指定しましたよね、大丈夫ですよね?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re: 自作EAGLE基板の製作1 コメント数:  3件
  軽石 2004/05/21 (金) 18:23
こんにちはGOさん。

ご指名の件ですが、質問については特定しないほうが色々な方からアドバイスがもらえると思います。

> 私も自作240PIN基板をEAGLEで設計し、OLIMEXに注文しようと
> して3ヶ月になります。EAGLE等で戸惑ってますので少し、助言
> ください。

私が目指しているのは208ピンです。(^^;
EAGLEは軽く動かしましたが、拒否反応が出て苦戦中です。(>O<)
(業務でORCADを使っている為か?)

> 1.

たぶん購入時の違いでの差か値付けミスでしょう。
注文はEPF6016QC240-2にしましょう。(^^;
2月の在庫なので、注文しないと詳細はわかりません。

> 3.

SDRAMの何処が高度なのでしょうか?
なひたふさんの資料を参考にすれそれほど怖くはないと思います。
そうか、デバッグにはそれなりの設備が必要なので個人だと大変ですね。m(_。_)m

ピン配置図はそれぞれメーカのWebにあるのでそんなに怖くはありません。

個人で240ピンの記事は私も見た事がありません。
これだけのICともなるとフリーで作れるサイズぎりぎりです。
私は根性がないので208ピンです。チップも入手できた(明日来るらしい)ので
いよいよ腰をあげようと思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re: 自作EAGLE基板の製作1 コメント数:  4件
  GO 2004/05/21 (金) 11:23
自作SP基板製作中のかたへ


1.
GO自作SPARTANUが自作&手配線のため、動かず困っているのをなひ
たふさんに大助言してもらいました。このとき、終端処理ということ
を習いましたが、この技術は東京エレクトロンデバイス社のサイトか
らVIRTEXのROMコンフィグの説明SHEETでも、そっくり見つけました。
アマチュアも怖がらずに、面倒がらずにXILINXや、ALTERAのサイト
においてある重要、説明記事をこまめに読まなくては技術の発展が
ないと思いました。。240PIN配置図すら、他サイトにはありません。

2.
今回、将来の勉強のためにUCLINUXの走る基板(MICROBLAZE搭載)
を求めていたらSTRAWBERRY-LINUXのH8基板でなく、「SUZAKU」と
いう基板がお値打ちであることがわかり、注文しました。そのとき、
東京エレクトロンデバイス社のPASSWORDをもらい、このサイトの
膨大な技術資料をかいま見ることができました。。英語資料を読む
能力もつけねばだめですが、日本語資料は早く読め、知識の誤り点
検に使えます。。。。ではみなさん、よろしく。。次々回はSDRAM?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 自作EAGLE基板の製作1 コメント数:  9件
  GO 2004/05/21 (金) 10:59
軽石さんへ、

私も自作240PIN基板をEAGLEで設計し、OLIMEXに注文しようと
して3ヶ月になります。EAGLE等で戸惑ってますので少し、助言
ください。

1.
使うFPGAはSPARTANU200かEPF6016QC240-2です。ALTERA製品
は以下の東名電子産業では3500円でhttp://www.tomeidensi.com/
で買えます。XILINXは千石電商で買います。ところで、ALTERAの
EPF6016QC240-3は6000円です。どこがどう違うのでしょうか?

2.
ALTERA−FPGAは森岡澄夫氏設計のCQPICを焼き付けるのに使います。
IMPLIMENTに成功してます。。CYCLONEは高価ですので、次回です。

3.
自作基板はSDRAMは高度すぎて不可能で、まず、OPTIMIZEサイトの、
ノイズに強そうなべた基板タイプEZ-FPGAをまねします。FPGA144、
240の基板をEAGLEーFREE版でやっておられるサイト例をご存知です
か? なお、144、208、240PIN配置図は以下で得ました。
http://www.altera.com/literature/ds/dspkg.pdf


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 ネオンランプ コメント数:  2件
  のん 2004/05/21 (金) 00:06
お世話になります。
ネオンランプは、「負性特性を持つ」とはどういうことなのでしょうか。
ランプとしての他に使える特性を持つのでしょうか。
よろしく、ご教授ください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re^6: 超簡易RISC−COREを御紹介ください! コメント数:  0件
  GO 2004/05/20 (木) 23:54
こんにちは軽石さん、情報紹介、ありがとう。

1.
> この方の書籍または情報はどこに行けば見つかるでしょうか?
http://www02.so-net.ne.jp/~morioka/で見ることができます。この本を3
,4回精読しようと思ってます。。。本当に、良い本です。。巻末付録のCQ
PICをFLEX6016-240C2かCYCLONEEP1C6Q240C8でやるつもりです。。
両方とも、IMPLIMENTはできました。

2.
> VHDLによるマイクロプロセッサ設計入門などはいかがでしょうか?

GOももってますが、まねしにくいです。また、COMET−CPUはあまり
魅力的ではありません。第一、両記事とも初心者がつくれるだけくどく、
泥臭くかいてないので製作できません。

くりさんの助言どおり、AXASの厚さ3cmの資料や原本のVHDL−
LISTを印刷して読んでますが、どう印刷してもINDENTがうまくあわず、
大変読みにくいです。。。内容もまだGOにはわかりにくいです。そこで、
まずGO製作の、前8BITーCPUに外部SRAMやROMをつけたり、小
林芳直氏設計の4BIT−CPUを8BITに書き直せないかをやってます。。
PROの方の助言は全部、聞きます。。あきらめず、セコセコやってます。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re^6: 同期信号発生回路のABELサンプルについて コメント数:  0件
  hobb   | hobbmain@hotmail.com 2004/05/20 (木) 01:27
お返事ありがとうございます.

とりあえずは音声で呼びかけるシステムを(乱暴な方法ですが...)ちゃっちゃと作ってみようと思います.
結果報告については週明けになりますかね.
ではでは.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 リンクのページで、勘違いがあります。(おいらのページと同名のページのリンク先間違い コメント数:  0件
  Daisuke!   | daisuke_handa@hotmail.com 2004/05/19 (水) 22:37
 http://park10.wakwak.com/~daisuke_elec
タイトルの通りですが、PICやH8の「趣味の電子工作室」さんのページのリンク先が、自分のページになってます。
たぶん勘違いですので、修正してください。

あと、自分のページ(しょぼいですが)もリンクお願いします。
相互しますので。m(__)m

#まさかアクセス解析CGI修理した直後にここからアクセスされるとは...
#間違っても、サイト名をパクったわけではありませんよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 Re^5: 同期信号発生回路のABELサンプルについて コメント数:  1件
  なひたふ 2004/05/19 (水) 22:14
> 乱暴だけど、全チャンネルの搬送波の和と、作成した FM 変調な音声信号を
> ミキサにかけて注入するくらいで全チャンネルの音声まとめて差し替わって
> くれそうだ ... とは思うんですが、

もっと乱暴ですけど、中間周波数で強力なのを出すとか・・・
どんなチャンネルを受信していようとも、
中間周波増幅の周波数は一定ですよね。

そういうことを応用した防災無線ラジオは某社の特許になっている
ようですが、個人で使うなら問題ないでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^4: 同期信号発生回路のABELサンプルについて コメント数:  2件
  かみき 2004/05/19 (水) 21:47
TTV の信号に関してきっちり学んでる訳ではないんで、原理的な話としてですが ...

> ただ,この場合も一度信号をどこかで受けて差し替える,という方法になるのでしょうか?

音声信号は FM だから、放送電波のよりほんのわずかでも強ければ放送側の音声は
マスクされてまったく聞こえなくなり、こちら側で作成した音声に差し替わります。
AM 変調で同期信号にロックしなければならない(チャンネル毎に PLL の要る)映像信号
と違い、電波の性格にあるていど期待できるのがミソ。

乱暴だけど、全チャンネルの搬送波の和と、作成した FM 変調な音声信号を
ミキサにかけて注入するくらいで全チャンネルの音声まとめて差し替わって
くれそうだ ... とは思うんですが、
映像信号と音声信号のレベルの差が仕様から大きく解離した時に
チューナの AGC がどう振る舞うかなんてことまでは私は知りません (^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^2: ハードウエアによるハザードの解消法とは? コメント数:  2件
  GO 2004/05/19 (水) 16:12
GOです、助言ありがとうございます。

CQ出版でのASICセミナーに参加したときは、ハザード解消のことは
自分には程度がまだまだ高いことだなーと思って、そう注意深く聞
いていませんでした。。。。やっぱり、VIDEO持参が理想的だなあ。

1.
> ハザードが出ない仕組みをつくるには
> レジスタの出力を直接使うことが一番楽です。
この原則をよく覚えておきます。

2.
森岡澄夫著の「HDLによる高性能デジタル回路設計」の
P.81からp.98まで関連記事があります。。
(GOはまだまだピンときません、ここまで行ってません。。)

3.
ハザードを具体的に解消された方の、製作記事の書き込み
を待っております。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re: ISEにおけるWARNINGについて コメント数:  0件
  daipan   | daipan415@hotmail.com 2004/05/19 (水) 15:17
大変失礼しました。

使用したデバイスはXC2S600Eです。
指定したピンは

A13,B13,A14,B14

になります。
1回路だけのソースを早速書いてみます。


> こんにちはdaipanさん
>
> > XilinxのSpartan2Eを使用しています。
> > LVDSを利用しようとしてコーディングを
> > 行いBITファイルを作成しようとしたら以下のような
> > WARNINGが出てBITファイル作成に失敗しました。
>
> > ※「OBUF_LVDS」とういうcomponent文も記述しました
> > ※UCFファイルもピン配置表でちゃんと対になっているピン調べ採用し作成しました。
>
> と本人が思っているだけで事実と違うかもしれません。
> 使用したデバイス、指定したピン配置などを書くようにしましょう。
>
> この手の確認のする時には1回路だけのソースを作って見ましょう。
> 1回路だけで同様にでたのであればサイズも大きくないので公開してみんなに確認してもらえます。
>
> エラー情報だけでソースファイルなどが公開されていない状態では的確なアドバイスはあまり期待できませんよ。(というより出来ないですね)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re: ISEにおけるWARNINGについて コメント数:  0件
  軽石 2004/05/19 (水) 14:33
こんにちはdaipanさん

> XilinxのSpartan2Eを使用しています。
> LVDSを利用しようとしてコーディングを
> 行いBITファイルを作成しようとしたら以下のような
> WARNINGが出てBITファイル作成に失敗しました。

> ※「OBUF_LVDS」とういうcomponent文も記述しました
> ※UCFファイルもピン配置表でちゃんと対になっているピン調べ採用し作成しました。

と本人が思っているだけで事実と違うかもしれません。
使用したデバイス、指定したピン配置などを書くようにしましょう。

この手の確認のする時には1回路だけのソースを作って見ましょう。
1回路だけで同様にでたのであればサイズも大きくないので公開してみんなに確認してもらえます。

エラー情報だけでソースファイルなどが公開されていない状態では的確なアドバイスはあまり期待できませんよ。(というより出来ないですね)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 ISEにおけるWARNINGについて コメント数:  2件
  daipan   | daipan415@hotmail.com 2004/05/19 (水) 14:25
XilinxのSpartan2Eを使用しています。
LVDSを利用しようとしてコーディングを
行いBITファイルを作成しようとしたら以下のような
WARNINGが出てBITファイル作成に失敗しました。

『Phase 1.1
WARNING:Place:96 - The IOB output L_TXD_OUT_P needs an another associated output
to complete the LVDS pair requirement.』

『The following table is the list of pairs of sites,
whether the site is
occupied, and capable of asynchronous output or not.』

アドバイスよろしくお願い致します。


※「OBUF_LVDS」とういうcomponent文も記述しました
※UCFファイルもピン配置表でちゃんと対になっているピン調べ採用し作成しました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^2: 同期信号発生回路のABELサンプルについて コメント数:  0件
  hobb   | hobbmain@hotmail.com 2004/05/19 (水) 02:25
こんばんは.早々のお返事ありがとうございます.

視聴者が今何チャネルを見ているかわからないわけですが,次のような事で多数の送信機が必要になる問題は回避できないかと考えています.それは,1種類の信号(とりあえずは簡単な遮断信号,悪く言えばジャミング??)を生成して,それを周波数を切り替えながら送出する方法です.この方法であれば,アンテナからの信号を一度切り離すことなしに(簡略化を考え),なんらかの意志(かなり伝わくいですが...,当所はモールスのようなものも,,)が伝えられそうな感触を持っています.
例えば,ツツツトートートーツツツ(SOS)ぐらいはすぐ実現出来そうなのか,まぁこれは半分冗談ですが(^^;;

いろいろ書きましたが,ジャミング信号それ自体に意志を持たせることは可能であり,TVを視聴する上ではそれがあまり邪魔にならない事が重要だと思います.人間が気にならないノイズなど,これ自体何か矛盾しており難しそうですがやってみる価値はありそうです.

長くなってしまいました.ご助言頂ければ幸いです.それでは.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re^3: 同期信号発生回路のABELサンプルについて コメント数:  3件
  hobb   | hobbmain@hotmail.com 2004/05/19 (水) 02:15
こんばんは.早々のお返事ありがとうございます.

確かに映像に限定せず,音声を使うの一番手っ取り早い方法かもしれません.
また,応用範囲(コンポのスピーカから”勉強しろ”等)も広そうですね.
ただ,この場合も一度信号をどこかで受けて差し替える,という方法になるのでしょうか?直感的には同じ周波数で変調かけて出力で稼げばいけるかな,程度のことが浮かぶのですが...

> 個人的には、テレビに介入したら録画してた家族が怒るんじゃないかな〜
> てな問題がいちばん気になります。

おっしゃるとおり,一番重要なのは家族の反発ですが,その点はまぁぬかりなくということでかね...
特にTVの視聴など制限したい我々にとっては,またまた別な使い方もありそうです(^^;;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^2: 同期信号発生回路のABELサンプルについて コメント数:  4件
  かみき 2004/05/19 (水) 00:37
こんばんは。問題としては面白そうなんですが。

音声信号を全チャンネルまるまる差し替えるか重ねるくらいなら
処理量的にもなんとかなる、かな。
内向きの有線に限ることができればスプリアスは気にしなくていいし。

> TV線に給電した信号は、TVのアンテナを通じて他の家にも
> 放射されていくことです。

これはアンテナ直下にブースタ入れておけば良いとして...

個人的には、テレビに介入したら録画してた家族が怒るんじゃないかな〜
てな問題がいちばん気になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^5: 超簡易RISC−COREを御紹介ください! コメント数:  1件
  軽石 2004/05/18 (火) 23:51
こんにちはGOさん

> 6)森岡鎮夫氏の超簡易8BIT−CPUがGOにはぴったりでした。

この方の書籍または情報はどこに行けば見つかるでしょうか?
名前で検索して見ましたが見つける事ができませんでした。

> 7)昨年騒がれた「CPUの創り方」なる本で紹介されている4BIT-CPUの次段階
> くらいのCPUで結構です。。。よろしく、よろしく御紹介ください。。たぶん、

今日私が購入してきた「VHDLによるマイクロプロセッサ設計入門」CQ出版社
などはいかがでしょうか?

詳しくはまだ読めていませんがCOMET(情報処理でつかうCPU)を作っているようです。

一応16ビットCPUですのでちょっと大きすぎますかね。(^^;



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 有難うございます。 コメント数:  0件
  bunny pop   | c346505k@tobata.isc.kyutech.ac.jp 2004/05/18 (火) 19:56
返事がおくれてすみません。

なんとか問題は解決し、今、OHPシートに印刷しました。

皆様 有難うございました。

P.S.
もう少し自分で考えてから書き込みするようにこころがけます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re: FPGAの独習(ツール+Verilog)方法について コメント数:  5件
  軽石 2004/05/18 (火) 11:23
こんにちはみかりんさん

> Quartus II Web Editionで、VerilogでFPGAが使える様に独習をしようと思っております。
> ツールの使い方等を含め、良い参考書等を紹介頂きたくお願い申し上げます。

論理回路についてどれくらい知識があるか判らないのでなんともいえませんが、
ツールの使い方についてはCQ出版社から出ている書籍が良いと思います。
どれといわれるとツールのバージョン変化に追いついていないので以前私が紹介した
雑誌でよかったと思います。

Verilogは技術評論社の「実用入門デジタル回路とVerilog-HDL」で基礎からやられるのが
よろしいでしょう。

ただし、ツールだけ使っても身につきません。実機を用意して実際に動かす事をお勧めします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re: ハードウエアによるハザードの解消法とは? コメント数:  3件
  なひたふ 2004/05/17 (月) 23:59
こんばんは

> 「このSPARTAN2-150基板(=CQ出版製の評価基板)は、ハード
> ウエアによってハザードを解消している」とありました。この
・・・
> ハード的に信号が安定するのを各重要信号ポイントで、待ったり、
> ダンピング抵抗をいれたり、コンデンサーを入れて信号処理をし
> ていることなのでしょうか? ここの辺を、お教え下さい。

そうかもしれませんし、ロジックでハザードが出ないように
しているのかもしれません。
なお、コンデンサを入れても根本解決にはなりませんので、
その可能性は低いでしょう。

ロジック的にハザードが出ないようにするには、
ジョンソンカウンタやグレイコードカウンタを使ったり、
リメディという技巧があります。
しかし、そもそもレジスタの出力をゲートに通さなければ
ハザードは発生しません。

その上、同期式ならば多少のハザードは気にしなくても大丈夫です。
ハザードが出て一番困るのは、非同期式のデバイス
(たとえば、SRAMやDRAM)を使う場合です。

ハザードが出ない仕組みをつくるには
レジスタの出力を直接使うことが一番楽です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 ハードウエアによるハザードの解消法とは? コメント数:  4件
  GO 2004/05/17 (月) 23:22
なひたふさんへ、GOです。。

1.
FREE-CPU-COREの「AXAS」のマニュアルを気を入れて読んでいたら、
「このSPARTAN2-150基板(=CQ出版製の評価基板)は、ハード
ウエアによってハザードを解消している」とありました。この
基板の回路図を6枚を印刷してよく見ましたが、具体的にはど
ういうことをしているのかよく分かりません。。この基板は、
ハード的に信号が安定するのを各重要信号ポイントで、待ったり、
ダンピング抵抗をいれたり、コンデンサーを入れて信号処理をし
ていることなのでしょうか? ここの辺を、お教え下さい。

2.
以前、なひたふさんにHINTを教えて頂いた、FPGAにSRAMを外部的
に接続するVHDLを自分で書いています。これを自作CPUに入れて、
8BIT-CPUを拡張しています。また、4BIT−CPUにもう2つの4BIT
の出力ポートを入れて液晶表示を狙ってます。。できたらおなぐさ
みです。。これができたら、BLOCKRAMのことをちょっと、お聞きし
ますのでよろしく。

追伸:
多くのプロの方、御忠告ありがとう。。なんとかやってます。。。^_^;

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re: 同期信号発生回路のABELサンプルについて コメント数:  5件
  なひたふ 2004/05/17 (月) 22:24
こんばんは

軽石さんがすでにおっしゃっているように、
2つの問題があります。
まず、文字や映像を重ね合わせて表示することはとても難しいこと
(たぶん無理)
そして、全チャンネルに同時に送信しなければならないことです。

ただし、ゴースト現象のように自然に重ね合わさった画像が
表示されることもあるわけですから、不可能ではないでしょう。

そして何より解決が難しい問題は、
TV線に給電した信号は、TVのアンテナを通じて
他の家にも放射されていくことです。

他の家のテレビに混信を与えないようにするのが一番難しいかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Win2000で Lattice ispVM コメント数:  0件
  nobori 2004/05/17 (月) 21:48
Lattice Semicon の ispVMをWindows2000で動かそうとしたところ、うまく動作してくれず、Readme.docをみましたら、Win2000の時には、パラレルなんちゃらをインストールして云々とありました。
これを入れ、再起動し、更にreadmeで、「Strong Recommend」されていたチェックボックス2つを入れたところ、うまく「Scan」は出来るようになりました。

ところが、「Go」で書きこみを行なおうとすると、
「JEDECファイルが見つかりません」といったエラー表示が出て書き込みが出来ません。

Win2000にする前には、Win98SEで上手く動作していたので、何が悪いのかが分かりません。
もし、何か情報お持ちの方いらっしゃいましたら、宜しくお願い申し上げます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re: 同期信号発生回路のABELサンプルについて コメント数:  1件
  軽石 2004/05/17 (月) 18:27
こんにちはhobbさん

> 例えば,信号発生器をアンテナ線に接続し,テレビのアンテナ接続端子から本来の画像などにかぶせるような形で信号(ごはんですよーなど)を,意志を伝達することを考えています.当所の目的としては,簡単な遮断信号(テレビの映像を遮る形)の実現を考えています.

いくつかの問題点があるみたいですので、私なりのアドバイスを書きます。

現状の仕様にある信号を被せる方法は適切ではありません。
理由はアンテナから来る信号を黄色の水としたら被せる信号は赤色の水のような物だからです。

2色の水を混ぜて出来上がる水の色は赤色にはなりません。

理想的な方法としてはアンテナからの信号を一旦切り離して変わりに映像信号を送信する方法がよいと思います。
が、これにも問題があります。
アンテナ線は1本ですが、その中にはたくさんのチャンネルがあります。
受信するTVのチャンネルがすべて同じであれば問題はないのですが、実際には
色々なチャンネルで見ていると思われます。
そうなると、それら選択されているであろうチャンネルすべてに映像を送信する必要があります。
(見るチャンネル分の送信機が必要)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 同期信号発生回路のABELサンプルについて コメント数:  8件
  hobb   | hobbmain@hotmail.com 2004/05/17 (月) 18:06
はじめまして.趣味で電子工作を行っているhobbと申します.
ビデオ信号に関する解説など,大変興味深く拝見しております.

いきなり質問になってしまうのですが,今度テレビのアンテナ線(RF)をインフラとして使い,信号を流すことを考えています.
というのは,家にTVが複数台かあり,それを用いて掲示板(メッセージ伝達機)のようなものが実現出来ないかと考えたからです.(画面に表示する形で)
例えば,信号発生器をアンテナ線に接続し,テレビのアンテナ接続端子から本来の画像などにかぶせるような形で信号(ごはんですよーなど)を,意志を伝達することを考えています.当所の目的としては,簡単な遮断信号(テレビの映像を遮る形)の実現を考えています.
アドバイスがいただけたら幸いです.それでは
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 FPGAの独習(ツール+Verilog)方法について コメント数:  6件
  みかりん  | zank015@ybb.ne.jp 2004/05/17 (月) 06:38
Quartus II Web Editionで、VerilogでFPGAが使える様に独習をしようと思っております。
ツールの使い方等を含め、良い参考書等を紹介頂きたくお願い申し上げます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re^5: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  0件
  youju   | youju@traceon.org 2004/05/17 (月) 01:40
bunny popさん、こんばんは。

ちょっと思ったのですが、
質問する時は自分で調べてから、質問した方がいいと思います。
内容もちょっと調べればすぐ分かりそうなものですし。

なひたふさんは、
自分でProtelDXPを使っていないにもかかわらず、
わざわざインストールしてまで、調べてくださってます。
普通はここまでしてくれないですよ。めんどくさいし。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re^5: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  1件
  なひたふ 2004/05/16 (日) 19:28
こんばんは

私はProtelDXPを使ったことがないので何ともいえませんが、
プリンタによっては縮尺が正しく出ないこともありますが、
まずは、↓のダイアログの「Fit Document On Page」を疑いましょう。
http://www.nahitech.com/temp/proteldxp2.png

Scaleというところを設定すると、縮尺が微調整できるかもしれませんね。

> もうひとつお聞きしたいのですがProtelDXPの回路図記入の際テキサスインスツルメンツのライブラリってつかえましたか?

どんな基板CADや回路CADにもいえることですが、
標準でついているライブラリや他人の作ったライブラリは無視して、
全部自分でライブラリを作るのがベストです。
特に、部品のFootPrintはなおさらです。

微妙に幅が違うとか、テストピンの扱いとか、
そのような些細なことが重なって重大なミスにつながります。

他人の作ったライブラリは極力使わないようにし、
自分で部品のデータシートを見ながらFootPrintを作るに
こしたことはありません。

また、ガーバは世界標準のフォーマットですから、
どのようなガーバビューアでも見れる(はず)です。

GC-Prevueというのが無料で使うことができて、お勧めです。
http://www.graphicode.com/
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 Re^2: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  0件
  bunny pop   | c346505k@tobata.isc.kyutech.ac.jp 2004/05/16 (日) 19:08
軽石さん 有難うございます。
指名をしてしまったのは前回の続きだったからです。

アドバイスをいただけるのはありがたいので宜しくお願いします。

> 使っているアプリケーションのバージョンなどを報告されてみてはいかがでしょうか?
> よろしければ入手ルートなどを書くと手持ちの人が確認してくれるかもしれません。
>

入手ルートはトランジスタ技術の付録についていたCDからインストールしました(去年の6月号? )


> 別のガーバービューワーで見てみてはいかがでしょうかという事ではないでしょうか?
> データではパッド穴があいているのにCAMTASTICでうまく表示できていないかもしれませんと言う事だと思います。

いまいち使いこなせていないのでよくわからないのですが、ガーバービューアーというのは同じメーカーのもの(ここではProtelDXPとCAMTASTIC)でなくともよいものなのですか?

そのソフトに使えるように変換とかが必要なのでしょうか?・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^4: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  3件
  bunny pop   | c346505k@tobata.isc.kyutech.ac.jp 2004/05/16 (日) 19:00
なひたふさん 有難うございます。
手順どおりためしてみたところ、きっちり穴が表示されていました。

しかし新たな問題点が・・
設定した寸法よりも大きく印刷されてきました。

実寸どうりに印刷するのはCAMTASTICで出来たのですが、こちらでは穴が表示されません。

この問題はプリンターの設定によるのでしょうか?

もうひとつお聞きしたいのですがProtelDXPの回路図記入の際テキサスインスツルメンツのライブラリってつかえましたか?

私のはエラーがでてつかえませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re^3: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  4件
  なひたふ 2004/05/15 (土) 22:02
トラ技の付録のProtelDXPを初めてインストールしてみました。
物凄く機能が豊富そうで、使いこなすのが大変そうですね・・・

サンプルのデザインをつかって、
ホールを表示して印刷する方法を探ってみました。

まず、基板をEDITするツールで、
FileメニューのPage Setupを開いてください。
http://www.nahitech.com/temp/proteldxp1.png

次に、Composit Propertiesというダイアログが開くので、
「Advanced」というボタンを押します。
また、このときColor SetをMonoにセットします。
http://www.nahitech.com/temp/proteldxp2.png

そしたらPCB Printout Propertiesというダイアログが開くので、
一番上の「Multilayer Composite Print」にカーソルをあわせて
右クリックします。
http://www.nahitech.com/temp/proteldxp3.png

プルダウンが開いたら、Properties...を選択します。
http://www.nahitech.com/temp/proteldxp4.png

Printout Propertiesというダイアログが開くので、
OptionsのShow Holesをチェックします。
これで印刷時にホールが表示されるようになります。
http://www.nahitech.com/temp/proteldxp5.png

AcrobatでPDFにしてみました。
http://www.nahitech.com/temp/proteldxp6.png
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^2: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  5件
  なひたふ 2004/05/15 (土) 11:54
こんにちは、

> > いまガーバー出力したものをCAMTASTICで表示しているのですが
> > さらにガーバー出力するのですか?

ちょっとトラ技付録のCDROMが見つからないので、
まだ試せていません。

すみませんが、もうしばらくお待ちください。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re: 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  7件
  軽石 2004/05/15 (土) 10:20
こんにちはbunny popさん

ご指名ではありませんし、使っていないので詳しくはないので外野からのアドバイスという事で失礼いたします。

使っているアプリケーションのバージョンなどを報告されてみてはいかがでしょうか?
よろしければ入手ルートなどを書くと手持ちの人が確認してくれるかもしれません。


>
> >どうしてもうまくいかなければ、ガーバに変換してから、
> >ガーバビューアで見るというのも手だと思います。
>
> いまガーバー出力したものをCAMTASTICで表示しているのですが
> さらにガーバー出力するのですか?

別のガーバービューワーで見てみてはいかがでしょうかという事ではないでしょうか?
データではパッド穴があいているのにCAMTASTICでうまく表示できていないかもしれませんと言う事だと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 追記:ProtelDXPのパッド穴について(なひたふさんへ) コメント数:  8件
  bunny pop   | c346505k@tobata.isc.kyutech.ac.jp 2004/05/14 (金) 12:04
ちょっと前の記事のことですが他の書き込みが多かったので改めて記載させていただいています。

>> ですが、パッドの穴の部分が埋まった状態で出てきました。
>> Eagleのようにパッドの中心が空の状態で印刷するのはどの様にすればよいのでしょうか?

>CAMTASTICのいちばん上のプロパティで、
>Show Holesというオプションがなかったでしょうか?

どこにあるのか分かりません。
ヘルプで検索してもでてきませんでした。

>どうしてもうまくいかなければ、ガーバに変換してから、
>ガーバビューアで見るというのも手だと思います。

いまガーバー出力したものをCAMTASTICで表示しているのですが
さらにガーバー出力するのですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re^5: 超簡易RISC−COREを御紹介ください! コメント数:  0件
  軽石 2004/05/14 (金) 09:50
軽石です。
朦朧とした頭のおかげで勘違いがありましたのでお詫びいたします。m(_。_)m

Stratixの基板でした。Uのチップは乗っておりません。(--;

> > 私のほうは自宅にStratixUの基板があります。(^^;「勝手から手が空かなく放置状態」
>
> 横レス申し訳ないのですが、
> StratixIIの基板というのは何処で購入できるのですか?

私の勘違いですので、持っていませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^2: ありがとうございます。もうひとつよろしいでしょうか? コメント数:  0件
  のんのん 2004/05/14 (金) 08:57
Nontaさん、おはようございます。
早速お返事いただき、本当に感謝しております。
おっしゃるとおり、ループゲインではなく、1+Aです。
ご指摘ありがとうございました。
早速、本を買ってみようかと思います。



> のんのんさん,こんにちは.
>
> > どういう計算で負帰還時のZoutがループゲイン分の1になるのでしょうか?
> 紹介した本「OPアンプによる実用回路設計」の59〜60ページに載っています.
> http://www.cqpub.co.jp/hanbai/books/MSP/MSPZ200405.htm
> 説明すると長くなるので,本を読んでみてください.
>
> 誤解されているようですが,負帰還による諸特性の改善は,
> ループゲイン(還送比)ではなく,帰還量(還送差)によります.
> 100%帰還なら,還送比'A'ではなく還送差'1+A'ですね.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re: ありがとうございます。もうひとつよろしいでしょうか? コメント数:  1件
  Nonta 2004/05/14 (金) 08:39
のんのんさん,こんにちは.

> どういう計算で負帰還時のZoutがループゲイン分の1になるのでしょうか?
紹介した本「OPアンプによる実用回路設計」の59〜60ページに載っています.
http://www.cqpub.co.jp/hanbai/books/MSP/MSPZ200405.htm
説明すると長くなるので,本を読んでみてください.

誤解されているようですが,負帰還による諸特性の改善は,
ループゲイン(還送比)ではなく,帰還量(還送差)によります.
100%帰還なら,還送比'A'ではなく還送差'1+A'ですね.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 ありがとうございます。もうひとつよろしいでしょうか? コメント数:  2件
  のんのん 2004/05/14 (金) 00:06
Nontaさん、こんばんは。
早々に、お返事いただきありがとうございます。なるほど、理解できました。
すみません、もうひとつお聞きしてよろしいでしょうか?多分、ご紹介していただいた本を見れば載っているのかもしれませんが....
この回路の場合、出力インピーダンスはどうなるのでしょうか?一般的に負帰還がかかっていると、帰還がかかっていないときのZoutのループゲイン分の1になると思うので、この場合ですと帰還率100%なので、もともとのOPアンプのZoutを裸利得で割った値になるのでしょうか?
で、そもそも、どういう計算で負帰還時のZoutがループゲイン分の1になるのでしょうか?
いろいろ聞いて申し訳ありません。説明しにくいようでしたら、参考図書等紹介していただくとありがたいのですが。
すみませんが、よろしくおねがいいたします。

のんのん
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re: 電流電圧変換回路の入力インピーダンスの求め方 コメント数:  3件
  Nonta 2004/05/13 (木) 17:54
のんのんさん.今晩は.
> オペアンプをつかった、電流電圧変換回路の入力インピーダンスはRf/Aと言われますが、
> これはどのように計算したらよいのでしょうか?
電流源のインピーダンスとオペアンプの入力インピーダンスは無限大として
(無限大にしなくてもかまわないけど),この本の61ページを参考に
http://www.cqpub.co.jp/hanbai/books/MSP/MSPZ200405.htm
入力電圧Vi,入力電流Ii,入力インピーダンスZiとして計算すると,
Vi=Ii*Rf + Vo (∵重ねの理)
Vo=-A*Vi (∵オペアンプの定義より)
∴Zi=Vi/Ii=Rf/(1+A)
ここで,Aが大きい(例えば1,000以上)ときは,(1+A)≒Aですから,
入力インピーダンスは,Rf/Aと言っても井伊でしょう.
紹介した本「OPアンプによる実用回路設計」は諸事情により
薦めていますので,是非買ってみてください.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 電流電圧変換回路の入力インピーダンスの求め方 コメント数:  4件
  のんのん 2004/05/13 (木) 13:33
すみません、教えてください。
オペアンプをつかった、電流電圧変換回路の入力インピーダンスはRf/Aと言われますが、これはどのように計算したらよいのでしょうか?
初歩的なことですみませんが、どなたか教えていただけないでしょうか?

のんのん
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re^2: コネクタ端子板 コメント数:  0件
  いたち 2004/05/13 (木) 11:23
こんにちは。
スカンクさんありがとうございました。
探していたものは、吉田電機というところでみつけました。
ULではスカンクさんのおっしゃるようなことができるのですね。
大変勉強になりました。
今後の参考にしたいと思います。
ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^4: 超簡易RISC−COREを御紹介ください! コメント数:  1件
  栗原 2004/05/13 (木) 11:13
こんにちわ。

> 私のほうは自宅にStratixUの基板があります。(^^;「勝手から手が空かなく放置状態」

横レス申し訳ないのですが、
StratixIIの基板というのは何処で購入できるのですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 404 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.