なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: Xilinx ECS の シンボルの意味について教えてください コメント数:  0件
  AXE 2002/12/16 (月) 19:17
> はじめまして、たけくんと申します
>
>  Xilinx ECS で シンボルを用いた設計をしたい初心者です(^_^;
>
>  LatchやBufferなど、シンボルが色々と有るのですが、この意味が
>  正確にわからなくて困っています。 何か良いドキュメントなどは
>  ないでしょうか? よろしくお願いします。

74シリーズのデータシートはいかがですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Xilinx ECS の シンボルの意味について教えてください コメント数:  5件
  たけくん  | uemukai@154649.com 2002/12/16 (月) 10:50
はじめまして、たけくんと申します

 Xilinx ECS で シンボルを用いた設計をしたい初心者です(^_^;

 LatchやBufferなど、シンボルが色々と有るのですが、この意味が
 正確にわからなくて困っています。 何か良いドキュメントなどは
 ないでしょうか? よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^8: でざいんうぇーぶ(秋月のLCD) コメント数:  1件
  okazaki 2002/12/15 (日) 23:26
> 基盤の裏側が見えないため、EL0-1,EL0-2に接続されているラインが
> 追いきれていません。 じっくり追ってみたいと思いますが、線が細か

EL0-1,EL0-2はバックライトのELの端子なので他とは完全に独立しています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re: でざいんうぇーぶ コメント数:  0件
  おちあい  | user@sol.dti.ne.jp 2002/12/15 (日) 23:20
 http://strawberry-linux.com/pld/
> 誰もまだ書いてないから、書いちゃお。
> アルテラのCPLDがついておったのう。。
> 秋月のLCDにくっつける例が載ってたから、この正月こそ
> CPLDに挑戦するぞー!(と意気込みだけは十分)

PLDライターキットを販売していますのでよかったらどうぞ。

http://strawberry-linux.com/pld/

いまなら3.3Vのレギュレータおまけしてますので、付属のEPM7256Aにちょうど良いです。
あの回路74HC244x1個だけですが、結構作るのかったるいのでいいかもしれません。
ついでにXILINXのPLDにも使えます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re^7: でざいんうぇーぶ(秋月のLCD) コメント数:  2件
  かさ 2002/12/15 (日) 22:36
> 内部だけならどうでもいいのですが、そのために左側コネクタの
> 信号Vee1、Vee2、Vee3、CTRL、SHLをどうするか決めかねています。
> Vee1、Vee2、Vee3はコントラスト調整用にように見えるし、CTRLは
> 液晶駆動電圧発生部のスイッチと思いますが、SHLは謎です。
> SHLもGNDのように思えるのですが、そうすると中央Q3の役割が不明
> になるので。

情報をいただきましてありがとうございました。
確かにBA9700AF(DC-DC Switching Reguratorのあたりの配線と記述が
怪しいですね。GNDとSHLの部分が混乱している様に見えます。根本的に
アナログ系とデジタル系で電源ラインを分けていたりするかもしれませんね。
基盤の裏側が見えないため、EL0-1,EL0-2に接続されているラインが
追いきれていません。 じっくり追ってみたいと思いますが、線が細か
すぎますね。

> このあたりが判ればお教え下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 Re^6: でざいんうぇーぶ(秋月のLCD) コメント数:  3件
  okazaki 2002/12/15 (日) 19:01
済みません、文字数制限のため分割しました。

で、使われている3種類のトランジスタが抵抗内蔵なのか、そもそも
トランジスタではないのか、私のデジタルテスタのダイオードレンジでは
PN接合のVFが測定できなくて端子配列を特定できませんでした。

内部だけならどうでもいいのですが、そのために左側コネクタの
信号Vee1、Vee2、Vee3、CTRL、SHLをどうするか決めかねています。

Vee1、Vee2、Vee3はコントラスト調整用にように見えるし、CTRLは
液晶駆動電圧発生部のスイッチと思いますが、SHLは謎です。
SHLもGNDのように思えるのですが、そうすると中央Q3の役割が不明
になるので。

このあたりが判ればお教え下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^5: でざいんうぇーぶ(秋月のLCD) コメント数:  4件
  okazaki 2002/12/15 (日) 18:47
> どの辺が重要な間違いか教えていただくことは可能でしょうか?
> よろしくお願いします。

左側のコネクタの信号CPがMSM5289Aの端子CPに接続されているのは
間違いで、実際に接続されているのはコネクタの信号LOADです。
これによって、コネクタのLOADがラインパルス、CPがシフトクロック、
IO1がフレームパルスと確定できます。

本質ではないですが部品番号Q1、Q3、Q4が重複しています。
気付いていないと話が混乱するので一応。

で、MSM5289A、MSM5299Cの端子Veeの接続先は左上Q3ではなく左上Q1の
コレクタで、そのQ1のコレクタはVddでなくD1のアノードに接続されています。
そうするとVeeはこの基板上の最低電位なので左上Q1、Q2、Q3の方向も
おかしいことになります。

他、回路図が正しいとすると実物は同じトランジスタなのに端子配列が矛盾する
箇所がいくつかありますし実物の方が抵抗の数が多かったりもします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re^2: naxjpによるフラッシュの書き込み コメント数:  0件
  安井  | coredump@ops.dti.ne.jp 2002/12/14 (土) 12:03
お返事ありがとうございます。

naxjp-0.7.4を使用していて一つ気になった点があります。
というのは、SH-3のバウンダリィスキャンレジスタが385
ビットと、8で割ると1余る中途半端なビット数あるのですが、
この半端なビットの扱いがおかしいようです。例えば385
ビット全て1だとすると16進の値は"1FFF・・・FFF"となり
ますが、TDOとSMASKによるチェックが一致しなかった場合
のエラー表示では"4FFF・・・FFF"と表示されてしまうようです。
今回のフラッシュの書き込みに使う分には特に困りませんで
したが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^4: でざいんうぇーぶ(秋月のLCD) コメント数:  5件
  かさ 2002/12/14 (土) 01:33
> 一応、回路図が付いているのですが、オリジナルの回路図ではなくて
> 誰かが基板を読んで引いた図面のようで、重要な信号に明らかな間違いが
> あります。
>
> おまけに実物の基板には抵抗やコンデンサに部品番号がシルク印刷
> されていないので回路図との対応を調べるのも面倒くさかったです。

知りませんでした、あの手書きっぽい回路図間違っているんですね。
まだ通電していません、アドバイスをありがとうございます。具体的に
どの辺が重要な間違いか教えていただくことは可能でしょうか?
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re^2: でざいんうぇーぶ(秋月のLCD) コメント数:  0件
  JUN猫 2002/12/13 (金) 22:19
> あと、30Vも面倒くさい。
> 今、鈴商のLCDに惹かれています。
へー。おもしろそうなLCDが売っているのかのう?
来月の初秋葉襲撃のときにチェックしてみようかの。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^2: でざいんうぇーぶ コメント数:  0件
  JUN猫 2002/12/13 (金) 22:17
> それにあの基板は、ピンの穴のサイズが小さくて、
> ピンヘッダのオスの方が使えないのでは?
> 秋月で売ってるピンヘッダ刺さります?
> メスの方を基板にさして、万能基板側をオスにしろということかな?
手元の基板では、刺さるもようじゃな。
微妙に固いとこと柔らかいとこがあるような・・・

この基板は”きっかけ”にしたいなと思っているのじゃ。
とりあえずマネから始める不精なJUN猫。(笑)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re^2: XC18Vシリーズの書きこみアルゴリズム コメント数:  0件
  さとう  | tsa@jcom.home.ne.jp 2002/12/13 (金) 18:41
> > 書き込みアルゴリズムってXilinxから公開されているんでしょうか?
> 残念ながら、されていません。
 ってことは、JTAG通信を解析ですか!?

> 私は1つの実行ファイルで何でもできるのが理想と考えており...
 DLL部をうまく作れば、スイッチ1つで切り替えられるはずです。

> アルゴリズムがドライバーとして分離されていれば
> いろいろと便利なことも多いと思います。
 私もOS依存型プログラムは嫌いですが、JTAGに関してはドライバー層とアプリ層が分離したいたほうが利便性が高いと思います。
 同じドライバ(DLL)を使い、コンフィグ後にUSERレジスタを使用したJTAG経由でSpartanIIと通信するプログラムなんかも考えています。

> Windowsに特化したバージョンを作ってDLL対応にするか
> どうかを含めて検討いたします。

 私のほうで出来ることがあればご協力いたします。
 ご検討の程、よろしくお願いします。
 UNIXの場合だと、デーモン+ソケット通信という形になるでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^3: でざいんうぇーぶ(秋月のLCD) コメント数:  6件
  okazaki 2002/12/13 (金) 01:29
> ひょっとして同じLCDですか? 384x192、MSM5299Aが並んでいる
> 1200円のものだとしたら同じ誘惑という悩みを抱えているかも。
> 成功したら是非ノウハウ共有(展開)をしましょう。

これ、私も買いました。

一応、回路図が付いているのですが、オリジナルの回路図ではなくて
誰かが基板を読んで引いた図面のようで、重要な信号に明らかな間違いが
あります。

おまけに実物の基板には抵抗やコンデンサに部品番号がシルク印刷
されていないので回路図との対応を調べるのも面倒くさかったです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^2: でざいんうぇーぶ(秋月のLCD) コメント数:  0件
  okazaki 2002/12/13 (金) 01:18
> 秋月のLCDは、私もCPLDで制御しようとして買いましたが、
> 冷陰極管の輝度のバランスが嫌で、ジャンク箱行きになってます。

先日、YAHOOオークションで、誰かが作った秋月LCDの表示基板に
見た時点で15000円の値がついていました。
最終的にいくらで落札されたのかは知りませんが、あのLCDを買って
もてあましている人って結構多そうです。

もっとも、その基板はCPLDじゃなくてAVRだかPICだかのポートで
直接制御しているようでしたけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^2: でざいんうぇーぶ(秋月のLCD) コメント数:  7件
  かさ 2002/12/13 (金) 00:50
> 今、鈴商のLCDに惹かれています。

ひょっとして同じLCDですか? 384x192、MSM5299Aが並んでいる
1200円のものだとしたら同じ誘惑という悩みを抱えているかも。
成功したら是非ノウハウ共有(展開)をしましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re: naxjpによるフラッシュの書き込み コメント数:  1件
  なひたふ 2002/12/13 (金) 00:31
> どうもはじめまして。安井と申します。
はじめまして

お役に立てたようで光栄です。

> XILINXのCPLDのISP用を想定しているようですがSVFファイルを読み込んで
> 実行する機能があるのでこれは使えると思い、早速バイナリイメージを
> 入力すると書き込みを実行させるSVFを出力するツールを作って実験
> したところ、見事にフラッシュへの書き込み・消去ができました。

SVFファイルを実行させるときに、いくつか注意点がございます。
SVFファイルの1行の長さは1999文字までです。
超過分はバッファオーバーフローせずに、切り捨てられます。
また、1つのSVFの行には改行を入れず1行にしてください。
このあたりのプログラミングで、改行を想定していませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 naxjpによるフラッシュの書き込み コメント数:  2件
  安井  | coredump@ops.dti.ne.jp 2002/12/13 (金) 00:18
どうもはじめまして。安井と申します。
個人の趣味で小型CPUボートを製作しております。CPUは日立のSH-3で
フラッシュメモリを外付けしているのですが、このフラッシュを書き換える
インターフェースとしてSH-3のJTAGポートを活用できないかと思いまして
調べたところ、naxjpという素晴らしいプログラムがあるのを知りました。
XILINXのCPLDのISP用を想定しているようですがSVFファイルを読み込んで
実行する機能があるのでこれは使えると思い、早速バイナリイメージを
入力すると書き込みを実行させるSVFを出力するツールを作って実験
したところ、見事にフラッシュへの書き込み・消去ができました。
このような有用なプログラムを公開してくださってありがとうございます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re: でざいんうぇーぶ(秋月のLCD) コメント数:  10件
  なひたふ 2002/12/12 (木) 09:07
> 秋月のLCDにくっつける例が載ってたから、この正月こそ
> CPLDに挑戦するぞー!(と意気込みだけは十分)
秋月のLCDは、私もCPLDで制御しようとして買いましたが、
冷陰極管の輝度のバランスが嫌で、ジャンク箱行きになってます。
デザインウェーブに先を越されちゃったのがちょっと残念でしたが。

あと、30Vも面倒くさい。
今、鈴商のLCDに惹かれています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re: でざいんうぇーぶ コメント数:  1件
  なひたふ 2002/12/12 (木) 09:06
>誰もまだ書いてないから、書いちゃお。
>アルテラのCPLDがついておったのう。。

買いました。載ってましたね〜。
私は使わずに大事に保存しておきます。
いまさらアルテラのツールの使い方を覚えるのも大変ですし。
デザインウェーブの記事の内容は、いつもにも増して薄かったです。

それにあの基板は、ピンの穴のサイズが小さくて、
ピンヘッダのオスの方が使えないのでは?
秋月で売ってるピンヘッダ刺さります?
メスの方を基板にさして、万能基板側をオスにしろということかな?

いったいどのような人を対象にしているのかよくわかりません。
CPLD未経験の人にはVHDLやVerilogの文法から説明しなければ、
本に載っているアプリケーション以上のものは作れないはず。

本にはいろいろなアプリケーションが載っていましたが、
載っている回路を真似てつくってもあまり面白くない。
CPLDの設計よりも、万能基板上にいろいろと載せていくことが
かえって面倒くさい。

結局「ふーん、こんなことができるのか」で終わってしまいそうです。

デザインウェーブの付録基板を活用していろいろできる人なら、
デザインウェーブの付録基板を利用しなくてもいろいろできる。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: 修正? コメント数:  0件
  なひたふ 2002/12/12 (木) 08:50
>いつも楽しく読ませていただいています。
ありがとうございます。

>NAXJP projectの「ダウンロードケーブルの作り方」で、パラレルポートとJTAGの
>対応表がありますが、パラレルポートの2,3,4ピンがそれぞれD1,D2,D3になっています。
>
>電子回路の豆知識プリンタポートの解説では2,3,4ピンがそれぞれD0,D1,D2になっています。
>意味はわかりますが、D0,D1,D2に統一したほうが良いかと思います。
ご指摘ありがとうございます。改善します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re: XC18Vシリーズの書きこみアルゴリズム コメント数:  1件
  なひたふ 2002/12/12 (木) 08:29
> はじめまして、さとうです。
はじめまして

> 私もXC18Vxxに対応させたいのですが、
> 書き込みアルゴリズムってXilinxから公開されているんでしょうか?
残念ながら、されていません。

>to なふたひさん
> SPCISPはJTAGドライバー部だけDLL化してあって、
> EZ-USB/Xilinxパラレル/Alteraパラレルにも対応しています。
> パラレルI/Fは要giveioにてWin2K対応です。
私もgiveioでWin2k対応させてみたのですが、
どうもうまくいきませんでした。原因は未調査です。

> このドライバーがNAXJPでサポートされるといいなぁなんて思っているのですが、
> そういうの興味ありませんか?

私は1つの実行ファイルで何でもできるのが理想と考えており、
他のOSにも同一ソースで移植したいと考えております。
そのため、現時点ではDLLは扱うつもりはありませんでしたが、
アルゴリズムがドライバーとして分離されていれば
いろいろと便利なことも多いと思います。

Windowsに特化したバージョンを作ってDLL対応にするか
どうかを含めて検討いたします。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re: 電光表示装置 コメント数:  0件
  なひたふ 2002/12/12 (木) 08:27
>16*16ドットマトリクスを8個用いて電光表示装置を作りたいのですが、
>メモリ制御のフローが良く分かりません。
>とくに漢字ROMを用いるとどのようになるのかを教えて下さい。
>あと、これに用いるバックアップバッテリーについても出来れば教えてください。

一般的には2つの方法があります。
(1)メモリ上にベタでビットマップとして描く。
(2)メモリ上にはキャラクタコードを書き、キャラクタコードから漢字ROMを参照する。

(1)の方法では、TVと同じく、スキャンをしながら表示します。
XとYのカウンタを作り、電光掲示板のVRAM(?)上をスキャンします。
Yと走査線を対応付けます。
スクロールが比較的楽にできます。

(2)の方法も基本的には同じですが、VRAM(?)を参照する頻度が16分の1あるいは
24分の1になります。VRAM(?)を参照して得られたコードから割り出した
漢字ROM上のアドレスを、XのカウンタとYのカウンタの下4あるいは5ビットで
参照しながら表示します。
スクロールは比較的難しいですが、メモリを節約できます。

しかしながら、ゼロから説明するのは大変です。
たけしさんは、今どのくらいまで設計を詰めていますか?
まずはそれを教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 私ならFPGAかな コメント数:  0件
  さとう 2002/12/11 (水) 14:12
>うーん、何か動機が不純ぽい^^)。
 同感です。
 PCIバスタイミングをクリアした所で、PCIのプラグ&プレイを実装し、その上でVGAレジスタのマップを行う必要があります。
 回路を簡潔にしたところで、もっと高いハードルが待ってます。

 それに、PCIクロックが低すぎると動かないボードもあったような気がするし、VGAモードでは互換保証をしないという話も聞きます。
 VGAは製品サイクルが早くGPUが変わるたびにやり直しだし、PCIバスのVGAだってすぐなくなっちゃうでしょう。

>逆に私なら完全にわかる ISA バスのVGAを使う事を考えます。
 ISAのVGAなんて今時まともに入手できます?
 私ならFPGAにフリーのVGA-IPのっける方向を考えます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^3: H8とPCI コメント数:  0件
  okazaki 2002/12/11 (水) 03:14
> これは僕の目的にぴったりなんですけどどう繋げばいいんでしょうか?

軽石さんが書かれている通りです。

付け加えるとしたら、ソフトが書きやすいように、IOポートと
接続先のビット順序とバイト境界を合わせること、かな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^5: H8とPCI コメント数:  1件
  くり 2002/12/10 (火) 23:47
>ソフトだけかチップ1、2個で繋がればドライバー作って
>フリーソフトにしようと思ったんで
>誰でも作れる簡単なハードでないと意味ないんです。

 うーん、何か動機が不純ぽい^^)。
 PCIは、完全同期バス、クロック可変(0〜33MHz)なので、クロックもエミュレートすることを考えれば理屈の上では不可能ではありません。ただし、アドレス・データ線として32本、コマンド・バイトイネーブル線として4本、さらに制御線として6本ほど必要で、クロックの立ち上がり時に同時に信号を与える必要があります。H8でI/O足ります? プロトコルもTCP/IPほどではないにしても、結構複雑です。理解する根性あります?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 でざいんうぇーぶ コメント数:  14件
  JUN猫 2002/12/10 (火) 22:17
誰もまだ書いてないから、書いちゃお。
アルテラのCPLDがついておったのう。。
秋月のLCDにくっつける例が載ってたから、この正月こそ
CPLDに挑戦するぞー!(と意気込みだけは十分)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^2: 3V FETは入手困難? コメント数:  0件
  森 秀樹 2002/12/10 (火) 19:05
> >  3.3V駆動で100mA、スイッチング速度は問わず...
CPU で矩形波を作ってそれを、コッククロフト ウォルトン回路で
倍圧するというのはどうでしょう。
そうすれば、FET のゲート電圧ぐらいになるような。
Min 2V だから下手すると3V 出てダイオードがよければ5Vを超えて
しまうなあ。
はたして、安くなるのだろうか。後はやけの、パワーMOSリレーなんて
どうでしょうか。300円ぐらいしそうだなあ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re: 3V FETは入手困難? コメント数:  1件
  タンタン 2002/12/10 (火) 14:14
> >アマチュアでは、3V動作のFETは入手が困難です。
> >手に入るのなら、うってつけなんですが。
>
>  3.3V駆動で100mA、スイッチング速度は問わず...
>  これくらいの仕様なら面実装で部品を選ばなきゃ、今のご時世通販でも買えるんじゃないでしょうか?
>  実際に選定して探した訳じゃないので、入手可能なら私も知りたいですが。

と言われたので、探してみました。
東芝の2SJ439 (2.5V駆動) が 梅澤無線 で購入できそうです。

http://www.umezawa.co.jp/tokyo-stock/tr-fet-2.html

在庫があるかどうかまでは調べていません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 3V FETは入手困難? コメント数:  2件
  さとう 2002/12/10 (火) 13:32
>アマチュアでは、3V動作のFETは入手が困難です。
>手に入るのなら、うってつけなんですが。

 3.3V駆動で100mA、スイッチング速度は問わず...
 これくらいの仕様なら面実装で部品を選ばなきゃ、今のご時世通販でも買えるんじゃないでしょうか?
 実際に選定して探した訳じゃないので、入手可能なら私も知りたいですが。

>> wwwrs.co.jp で扱っている、PQ033EZ01ZP (SHARP 製 表面実装電源IC) は
>> 簡単に制御できます。
>
>URLが間違っていませんか?

 高い電圧ソースがあるなら、私も出力制御付きのレギュレータが楽だと思います。ちなみに上のURLはここのことじゃないかな
http://rswww.co.jp/
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 ボーレート検出 コメント数:  0件
  さとう 2002/12/10 (火) 13:08
 有名なのはモデムで使われている'AT'コマンドですよね。
 コマンドモードで一定期間通信がとぎれた後、'AT'のコードを送ると、そのパターン?からボーレートを自動で合わせるってやつ。
 すべてのコマンドが'AT'から始まり、'OK'を返す仕様なので特別なプロトコルが必要ない。

 FFHをホストから送るとスタートビットの期間だけLレベルになるので、この信号幅=ボーレートとなります。
 それをタイマー等で測定すればよいですが、115Kとか高速だと時間的にキツいので、00Hを送ってLレベル幅を9で割る等の方がよいでしょう。
 あとは、ボーレートが合ったら'OK'を返すとか取り決めをしておき、ホストから'OK'を受け取るまで、一定間隔で00Hを再送し続ければOKです。
 ホスト側を動的に切り替えるなら、ターゲット側にも一定期間受信がとぎれたり、正規のコマンドがこなかったときにボーレート設定モードに戻るなどの処理が必要ですね。
 H8の書き込みシーケンスがこのような方法だったと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re: 自動切り替え コメント数:  0件
  タンタン 2002/12/10 (火) 11:53
タンタンです。
> RS232Cの伝送速度ですが、自動で切り替える方法を知っている方教えて
頂けないでしょうか?相手側より送られてくる伝送速度に
自動で速度を切り替えられるものを作製
> したいのですが....

電源ON後に、最初に送られてくる信号でボーレートを決める、
というのはやった事が有ります。

1ビットの時間を計測して、それを元に決めます。
ただし、最初に送る記号を決めておかないと誤動作します。

同じ相手で切り替えるのなら、切り替え用のコマンドを用意すれば良いでしょう。
相手が変わるのなら、変わった事を検出する必要が有るので、
ブレークを送信することで、切り替わりを検出できるでしょう。

いずれにしろ、何らかのプロトコルが必要になると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 自動切り替え コメント数:  2件
  コスミ 2002/12/10 (火) 11:21
RS232Cの伝送速度ですが、自動で切り替える方法を知っている方教えて頂けないでしょうか?相手側より送られてくる伝送速度に自動で速度を切り替えられるものを作製
したいのですが....
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 電光表示装置 コメント数:  1件
  たけし 2002/12/10 (火) 10:33
16*16ドットマトリクスを8個用いて電光表示装置を作りたいのですが、メモリ制御のフローが良く分かりません。とくに漢字ROMを用いるとどのようになるのかを教えて下さい。あと、これに用いるバックアップバッテリーについても出来れば教えてください
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re^2: 電源制御ならFET? コメント数:  3件
  タンタン 2002/12/10 (火) 08:46
タンタンです。

> FET 使っていいのなら、専用の電源 IC のおまけ機能使ってもいいんじゃないかな。
> wwwrs.co.jp で扱っている、PQ033EZ01ZP (SHARP 製 表面実装電源IC) は
> 簡単に制御できます。

URLが間違っていませんか?

> ちょっと表面実装なのが問題ですが、2.5V, 3.3V があり、出力も800mA はとれる
> ので気に入っています。1個 140円なので考えてみる価値はあると思います。

この石は、電圧レギュレータなので、今回の用途とは一寸違うと思うぞ。
レギュレータを使うという解も無くは無いけど、高い電圧を使って良いなら
もっと色々のやり方があると思うよ。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re: 電源制御ならFET? コメント数:  0件
  タンタン 2002/12/10 (火) 08:39
タンタンです。

> > CFカード用の電源供給を制御するようにしたいと考えています。
>  それなら低電圧の電源用FETとかの方がいいんじゃないかな?

アマチュアでは、3V動作のFETは入手が困難です。
手に入るのなら、うってつけなんですが。

>  トランジスタだと電圧ドロップするので0.6V程度高い電圧が必要だし、

ドロップするのは、0.2〜0.3V程度ですね。
ZETEXのZXT10P12DE6(PNPトランジスタ)では、
Ic=100mAの時Vce(sat)が17mV ですから、十分低いです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re: 電源制御ならFET? コメント数:  4件
  森 秀樹 2002/12/10 (火) 05:01
FET 使っていいのなら、専用の電源 IC のおまけ機能使ってもいいんじゃないかな。
wwwrs.co.jp で扱っている、PQ033EZ01ZP (SHARP 製 表面実装電源IC) は
簡単に制御できます。

ちょっと表面実装なのが問題ですが、2.5V, 3.3V があり、出力も800mA はとれる
ので気に入っています。1個 140円なので考えてみる価値はあると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re^5: H8とPCI コメント数:  0件
  森 秀樹 2002/12/10 (火) 02:31
2003/01 のインターフェースの p.89 に電源投入時は VGA互換レジスター
もバス上にマッピングされません。この操作は Video Card の BIOS が
自力でPCIを経由してマッピングを変更します。

このために、PCI BIOS と 8086 or 386 のエミレーションでもしないと
難しいという事が書いてあります。PCIそのものは、多分 7000gate ぐらい
でインターフェースできるかもしれませんが。

逆に私なら完全にわかる ISA バスのVGAを使う事を考えます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re^3: H8とPCI コメント数:  0件
  軽石 2002/12/10 (火) 01:53
ちょっぴり厳しいようですが、現実をみましょう。

>>接続できるかもしれませんが、試したわけじゃないので保証できないし、
>>もし接続できたとしてもかなり遅くなるような気がします。
>
> これは僕の目的にぴったりなんですけどどう繋げばいいんでしょうか?

安易な脊髄質問レスは止めるようにしましょう。
アイデアを出しただけだで詳細は調べていないのはレスを良く読めば判るはずです。


とりあえず簡単なPCI接続確認方法をお教えします。

1.PCIバスの信号を見ましょう。
  (入力専用、出力専用、入出力信号を分けます。)
2.H8の入出力ピンを数えます。
  (入力に出来る、出来ないなどを確認します。)
3.入力ピンと出力ピンの数が足りていれば何とか出来そうなめどがたつとおもいませんか?

4.入出力バッファのレベル確認をします。
  H8の入出力バッファがPCIバスの要求を満足しているか確認します。

5.レベルもOK、信号のピンもOKとなったら後は信号をPCIの規定に合わせて
  制御できればビデオカードを動かす事が出来るかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^2: H8とPCI コメント数:  2件
  たそがれロンリー 2002/12/10 (火) 01:18
okazakiさんはじめまして。

> まともに考えればH8のバスをPCIバスに変換するブリッジをFPGAか何かで
> 作る必要がありますが、ただ単にCRTに表示したいだけなのであれば
> 表示回路を自前で作った方が早いと思います。

タンタンさんへのレスと同じになるんでここには書きませんけど
それだと目的に合わないんです。

> いっそのことH8のI/Oポートを接続してソフトでPCIバスの動作をエミュレート
> してやれば追加のハード不要で接続できるかもしれませんが、試したわけじゃ
> ないので保証できないし、もし接続できたとしてもかなり遅くなるような気が
> します。

これは僕の目的にぴったりなんですけどどう繋げばいいんでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re^4: H8とPCI コメント数:  3件
  たそがれロンリー 2002/12/10 (火) 01:07
タンタンさんはじめまして。

> H8のバスと、PCIバスはそのままは接続できないと思います。
> 2003年1月号の「インターフェース」にSH4とPCIバスの
> 接続についての記事が出ているようなので、参考にしたらどうでしょう。
> CRTCを作るほうが簡単だと思うけど。

この本は見たんですが僕には分からなかったです。
ビデオカードくらいみんな余ってると思うんでソフトだけかチップ
1、2個で繋がればドライバー作ってフリーソフトにしようと思ったんで
誰でも作れる簡単なハードでないと意味ないんです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re^3: 電光掲示板について コメント数:  0件
  AXE 2002/12/09 (月) 22:42
> > > なぜ電光掲示板の1ピクセルに1バイトの情報量が必要なのか教えて頂きませんか?
> >
> > ウェルデンの電光掲示板は赤・緑それぞれ16階調の表示が可能なため、256色の表示を行うことができます。
> >
> > ↑だからじゃないですか?8bitで表現できる範囲が0-255だからだと・・・。
>
> その通りです。階調をつけるためです。

私は電飾看板でも階調は見たことが無かったので、とても新鮮でした。

そういえば、私もここで電光掲示板を見て作りたくなり、LEDモジュールをアキバで探したことがあります。
LEDモジュールが高くて、あきらめていたんですが、日米商事で65*65(mm)程度ですが、
700円で売られていたものを買い占めたことで満足してしまい、パーツボックスで眠っています。
年末年始でまとまった休みが取れるので、何かしたいですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: インターフェイスについて コメント数:  0件
  なひたふ 2002/12/09 (月) 22:17
> 電光表示装置の作製にあたり、CPU制御回路部からLED制御回路部のインターフェイスにはD-Sub9ピンを用いる予定ですが、実際は何が適切でしょうか?LED表示部には16*16ドットマトリクスを8個使用します。

D-Sub9ピンは物理的なコネクタの形状を意味します。
中にどんな電気信号を流すかは、また別の問題です。

CPUを使うなら、D-Sub9ピンを使って、
RS-232C通信を行うのが最も良いでしょう。

より現代風にするならば、スキルが必要ですが、USBです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^2: 電光掲示板について コメント数:  1件
  なひたふ 2002/12/09 (月) 22:14
> > なぜ電光掲示板の1ピクセルに1バイトの情報量が必要なのか教えて頂きませんか?
>
> ウェルデンの電光掲示板は赤・緑それぞれ16階調の表示が可能なため、256色の表示を行うことができます。
>
> ↑だからじゃないですか?8bitで表現できる範囲が0-255だからだと・・・。

その通りです。階調をつけるためです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re: 電光掲示板について コメント数:  2件
  AXE 2002/12/09 (月) 22:09
> なぜ電光掲示板の1ピクセルに1バイトの情報量が必要なのか教えて頂きませんか?

ウェルデンの電光掲示板は赤・緑それぞれ16階調の表示が可能なため、256色の表示を行うことができます。

↑だからじゃないですか?8bitで表現できる範囲が0-255だからだと・・・。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 インターフェイスについて コメント数:  1件
  たけし 2002/12/09 (月) 17:16
電光表示装置の作製にあたり、CPU制御回路部からLED制御回路部のインターフェイスにはD-Sub9ピンを用いる予定ですが、実際は何が適切でしょうか?LED表示部には16*16ドットマトリクスを8個使用します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 電光掲示板について コメント数:  3件
  伊藤 2002/12/09 (月) 17:07
なぜ電光掲示板の1ピクセルに1バイトの情報量が必要なのか教えて頂きませんか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re: アクテイブフィルタについて コメント数:  0件
  gupi   | gu_pi@geocities.co.jp 2002/12/09 (月) 15:43
皆様、親切にありがとうございます!
参考にさせていただいて、コツコツ設計してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 電源制御ならFET? コメント数:  6件
  さとう 2002/12/09 (月) 12:49
> CFカード用の電源供給を制御するようにしたいと考えています。
 それなら低電圧の電源用FETとかの方がいいんじゃないかな?
 具体的なデバイスとかは詳しく知りませんが、前にみたコンパクトフラッシュの電源制御にFET使われていました。
 トランジスタだと電圧ドロップするので0.6V程度高い電圧が必要だし、FETならポート直で駆動できます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^3: H8とPCI コメント数:  4件
  タンタン 2002/12/09 (月) 09:16
タンタンです。

> だからVGA互換部分だけ使えればいいんです。
> VGAレジスタなんかの仕様はもうみつけてあります。

H8のバスと、PCIバスはそのままは接続できないと思います。
2003年1月号の「インターフェース」にSH4とPCIバスの
接続についての記事が出ているようなので、参考にしたらどうでしょう。

http://www.cqpub.co.jp/interface/toku/2003/200301/toku0.htm

CRTCを作るほうが簡単だと思うけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re: アクテイブフィルタについて コメント数:  0件
  なひたふ 2002/12/09 (月) 03:34
 http://member.nifty.ne.jp/nahitafu/mame/mame3/filter.html
こんにちは

昔のノートが見つかりました。
かいつまんで説明します。

この回路は正帰還型といって、部品点数が少なく、
最も基本的なフィルタ回路です。

R1=R2で用いると設計は簡単かつ自由度の高いものになります。
R1=R2のとき、C1=4*Q*Q*C2の関係になります。
例えばQ=2のフィルタにしたいならば、C1=16*C2にしなければ
なりませんので、1.0,1.5,2.2,3.3,4.7,6.8の系列の中から16倍の関係にある
数値を選びます。たとえば、C2=0.01μF、C1=0.15μF+0.01μFを並列にします。
このコンデンサの値の選定が一番の難所です。

カットオフ周波数ωは、ω=1/√(C1*C2*R1*R2)であって、
R1=R2なので、Rの値はすぐにもとまるでしょう。

この回路では増幅器をエミッタフォロアにしているので、
増幅度は+1ですが、増幅度を変えればまた別の設計になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re: H8とPCI コメント数:  3件
  okazaki 2002/12/09 (月) 03:32
> H8/3069にPCIのビデオカードを接続したいんですが
> できるだけ簡単な方法を教えて下さい。

H8のバスとPCIバスはあまりにも違い過ぎて簡単には接続できません。

まともに考えればH8のバスをPCIバスに変換するブリッジをFPGAか何かで
作る必要がありますが、ただ単にCRTに表示したいだけなのであれば
表示回路を自前で作った方が早いと思います。

いっそのことH8のI/Oポートを接続してソフトでPCIバスの動作をエミュレート
してやれば追加のハード不要で接続できるかもしれませんが、試したわけじゃ
ないので保証できないし、もし接続できたとしてもかなり遅くなるような気が
します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^2: H8とPCI コメント数:  5件
  たそがれロンリー 2002/12/09 (月) 00:45
せのせのさんはじめまして。

> 使用するPCIのビデオカードに使われているビデオチップの仕様を良く
> 知っていなければ結構難しい気がします。あまりビデオチップの仕様
> は公開されていないので、まずはそこからですかね。

だからVGA互換部分だけ使えればいいんです。
VGAレジスタなんかの仕様はもうみつけてあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 修正? コメント数:  1件
  AXE 2002/12/08 (日) 23:21
いつも楽しく読ませていただいています。

NAXJP projectの「ダウンロードケーブルの作り方」で、パラレルポートとJTAGの
対応表がありますが、パラレルポートの2,3,4ピンがそれぞれD1,D2,D3になっています。

電子回路の豆知識プリンタポートの解説では2,3,4ピンがそれぞれD0,D1,D2になっています。
意味はわかりますが、D0,D1,D2に統一したほうが良いかと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re: H8とPCI コメント数:  6件
  せのせの 2002/12/08 (日) 00:55
> H8/3069にPCIのビデオカードを接続したいんですが
> できるだけ簡単な方法を教えて下さい。
> VGA互換部分だけ使えればいいです。

使用するPCIのビデオカードに使われているビデオチップの仕様を良く
知っていなければ結構難しい気がします。あまりビデオチップの仕様
は公開されていないので、まずはそこからですかね。
H8で8086のエミュレーションをすればカードに搭載されているBIOSを
実行でき、VGAモードの初期化ができそうですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^2: アクテイブフィルタについて コメント数:  0件
  なひたふ 2002/12/07 (土) 11:03
> B細かくなった2次のフィルタを設計します。
> この際に、コンデンサの容量の比が重要になります。
> なぜなら、抵抗はE24系列なのに、
> 実在するコンデンサは、それより少ないからです。
> まずコンデンサから決めます。

パラメータはω(カットオフ周波数)とQです。
Qの二乗はコンデンサの値の比に比例するので、
(ハイパスフィルタでは抵抗の比だったかな?逆?)
とにかくQの値を優先に決めるんです。
コンデンサが決まればωから抵抗は求まります。

昔書いた設計ノートが見つかり次第、具体的な数式を続報します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re: アクテイブフィルタについて コメント数:  1件
  なひたふ 2002/12/07 (土) 10:58
私のやりかた

@要求される減衰の急峻さから、フィルタの型と次数を決めます。
(チェビシェフ、バターワース・・・)

A要求されるされる特性を発揮できる、
2次のフィルタと1次のフィルタを組み合わせに
分解します。(表がある)

B細かくなった2次のフィルタを設計します。
この際に、コンデンサの容量の比が重要になります。
なぜなら、抵抗はE24系列なのに、
実在するコンデンサは、それより少ないからです。
まずコンデンサから決めます。

C実在する抵抗を組み合わせて半端な抵抗値を作ります。

Dこの作業を、分解した各2次のフィルタに対しておこないます。

詳しくは、後日Webに載せます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^2: トランジスタを利用しての制御 コメント数:  7件
  足立 2002/12/06 (金) 18:56
タンタンさん、ご回答ありがとうございます。

> > CPUの汎用ポート(Vol=0.55V(max),Voh=2.0V(min),Iol=2.0mA(max),
> > -Ioh=2.0mA(max))を利用して、3.3V/100mAのON/OFF制御を行おうと
> > 思っています。
>
> 負荷が電球や、リレーのような場合は、別の注意が必要になるので、
> 負荷の種類も書いたほうがいいですよ。

ある状態で、CPUから
CFカード用の電源供給を制御するようにしたいと考えています。
PCMCIAの電源制御用のICもあるようですが、ディスクリートで組めれば
コスト的にも安くつくのかな…と思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 H8とPCI コメント数:  11件
  たそがれロンリー 2002/12/06 (金) 18:43
みなさんはじめまして。
H8/3069にPCIのビデオカードを接続したいんですが
できるだけ簡単な方法を教えて下さい。
VGA互換部分だけ使えればいいです。
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 XC18Vシリーズの書きこみアルゴリズム コメント数:  2件
  さとう 2002/12/06 (金) 17:47
 はじめまして、さとうです。

 私はEZ-USBを使用したSpartanIIの書き込みプログラムSPCISPというものを作っています。
 私もXC18Vxxに対応させたいのですが、書き込みアルゴリズムってXilinxから公開されているんでしょうか?

to なふたひさん
 SPCISPはJTAGドライバー部だけDLL化してあって、EZ-USB/Xilinxパラレル/Alteraパラレルにも対応しています。パラレルI/Fは要giveioにてWin2K対応です。
 このドライバーがNAXJPでサポートされるといいなぁなんて思っているのですが、そういうの興味ありませんか?

http://members.tripod.co.jp/x1resource/xilinx/sp2isp/usbisp.htm

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 初期のPICは コメント数:  0件
  さとう 2002/12/06 (金) 17:33
 http://members.tripod.co.jp/x1resource/xilinx/fpgapac/index.htm
 PIC18F84の初期のころは、ベリファイ用に読み出し時はスクランブルデータが
読み出せるようになっていて、その解読ソフトがでまわったとか聞いたことがあ
ります。
 最近(だいぶ前から)は、そのせいか全く読めない0xfffだったかな?用に変
更されたみたいです。

 リード掛けてみて、コードが出てくるようなら解読可能かも。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Delta-Sigma DAC コメント数:  0件
  さとう 2002/12/06 (金) 17:28
 http://members.tripod.co.jp/x1resource/xilinx/fpgapac/index.htm
はじめまして、さとうといいます。

> > > > 趣味でFPGAを使った音声合成機器を作りたいと思っています。
> > 自動販売機なんかが喋ってくれますよね。ああいうものです。
> A/Dコンバータとメモリ、FPGAでデータコントローラを作れば
> 録音再生くらいだったら可能ですね。

 分解能が12ビット程度なら、Delte-Sigma DACが使えます。
 アンプは別として、抵抗とコンデンサ各1つで構成できるのでお手軽。

 Xilinxのアプリノートxspp154に説明とソース出ています。
 私のFPGA-PACMANでは、18KHz/8bitの分解能でそこそこ音出ています。

> DSPをやる前にPICやAVRなどではいかがでしょうか?
 音声領域のデジタル処理は、↑だとちょっとつらいのでは?
 FPGAならデジタルフィルタなども実装出来ると思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re: トランジスタを利用しての制御 コメント数:  8件
  タンタン 2002/12/06 (金) 10:46
タンタンです。

> CPUの汎用ポート(Vol=0.55V(max),Voh=2.0V(min),Iol=2.0mA(max),
> -Ioh=2.0mA(max))を利用して、3.3V/100mAのON/OFF制御を行おうと
> 思っています。

ロームのDTC123TKAを使うとして、ポートにデジトラを繋ぐと、
ベース電流は、(Voh-Vbe)/Rb=(2.0-0.6)/2.2K=0.6mAになります。
Hfe=100として、コレクタ電流60mAになります。Vohを(バッファで)高くするか、
Rbを小さくする必要があります。
トランジスタを飽和領域で使用すると、Hfeは10程度に低下するので、
ベース電流をもっと大きくする必要があります。
Vohが2Volt程度ならば、ベース抵抗は100オーム程度になるので、
DTD122JKが使えるかもしれません。

負荷が電球や、リレーのような場合は、別の注意が必要になるので、
負荷の種類も書いたほうがいいですよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re: アクテイブフィルタについて コメント数:  0件
  タンタン 2002/12/06 (金) 10:24
タンタンです。

> オペアンプコーナーで紹介されている、アクティブフィルタ
> (解説がないものです)について各C、Rの乗数計算方法が
> 書いてある書籍、ホームページ等をどなたか教えてもらえないでしょうか?

http://www.analog.com/techSupport/designTools/interactiveTools/filter/filter.html

で、フィルタの設計が出来ます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re: アクテイブフィルタについて コメント数:  0件
  通りすがったり 2002/12/05 (木) 23:59
> はじめまして。初めて質問させていただきます
> オペアンプコーナーで紹介されている、アクティブフィルタ
> (解説がないものです)について各C、Rの乗数計算方法が
> 書いてある書籍、ホームページ等をどなたか教えてもらえないでしょうか?
> 単純な微分、積分回路なら理解していますが、この様な複合形は
> どこの部品から乗数を求めていいかさっぱりわかりません。
> 以上、宜しくお願い致します。

「アクティブフィルタの設計」柳沢健、金光磐 秋葉出版

ってな本があります。かなり参考になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 アクテイブフィルタについて コメント数:  6件
  gupi   | gu_pi@geocities.co.jp 2002/12/05 (木) 19:18
はじめまして。初めて質問させていただきます
オペアンプコーナーで紹介されている、アクティブフィルタ
(解説がないものです)について各C、Rの乗数計算方法が
書いてある書籍、ホームページ等をどなたか教えてもらえないでしょうか?
単純な微分、積分回路なら理解していますが、この様な複合形は
どこの部品から乗数を求めていいかさっぱりわかりません。
以上、宜しくお願い致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 トランジスタを利用しての制御 コメント数:  9件
  足立 2002/12/05 (木) 17:53
最近、電気回路の設計を勉強しはじめました。
よく利用させてもらっております。

<質問>
CPUの汎用ポート(Vol=0.55V(max),Voh=2.0V(min),Iol=2.0mA(max),
-Ioh=2.0mA(max))を利用して、3.3V/100mAのON/OFF制御を行おうと
思っています。
バッファとトランジスタ(デジトラ)の2石くらいで実現可能ではないかと
考えているのですが、デジトラであればどの位の入力抵抗品を使えばよいか
分かりません。
上記のような場合、どのような品目を使用、またどのように考えていけば良いのか
教えて頂けたらと書込みを致しました。
トランジスタについて基本的なことを勉強しないといけないのですが。。。

すいません、よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re: 絶対値回路について コメント数:  0件
  たく 2002/12/04 (水) 19:11
> 絶対値回路の動作原理がわからないんですけど、どなたか分かりやすく説明してくれませんか。お願いします。

せっぴさんがどれほどの知識を持ち、どこまで理解できるかわからないので、「分かりやすく」
に当てはまるかわかりませんが、
googleで「絶対値回路 動作原理」の検索結果から、それらしいものを拾ってみました。

http://speana-1.hp.infoseek.co.jp/kenpa/zenpa/zenpa.htm

学校の課題ですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 絶対値回路について コメント数:  1件
  せっぴ  | hach1@mb.nasicnet.com 2002/12/04 (水) 15:25
絶対値回路の動作原理がわからないんですけど、どなたか分かりやすく説明してくれませんか。お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re: FPGAで音声合成 コメント数:  1件
  軽石 2002/12/04 (水) 00:30
こんにちは!

> はじめまして。
>
> 趣味でFPGAを使った音声合成機器を作りたいと思っています。
> 自動販売機なんかが喋ってくれますよね。ああいうものです。

A/Dコンバータとメモリ、FPGAでデータコントローラを作れば
録音再生くらいだったら可能ですね。

>
> VHDLで簡単な回路は作れるようになったのですが、それ以上の
> こと(DSPやアナログ関連)のことはまだよく分かっていません。
> 何か参考になる書籍などご存知ないでしょうか。

DSPであればTIなどがあります。
技術評論社から出ていたような気がしますが、リファレンスキットが結構したと思うので
あまりお勧めではありません。

DSPをやる前にPICやAVRなどではいかがでしょうか?
開発ツールも安いですし、なんたってICの入手が容易。
20MHzで動作するのでそれなりに速いです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re: コードプロテクトって? コメント数:  0件
  軽石 2002/12/03 (火) 23:42
こんにちはいさおさん

人間挨拶が大事です。

人に物を尋ねる時にはもう少し丁寧にしたほうが良い印象を相手に与えます。

> PICのコードプロテクトって解除できるんですか?

フラッシュタイプであれば可能ですが、ワンタイム版だとできないはずです。

> コードプロテクトがかかってしまった、PICをコピーできるんですか?

プロテクトが掛かっていると読み出しができないはずですのでコピーは不可能です。
ちなみにプロテクトを解除するという処理は上書きするという処理なので解除すると
データは消えます。

ついでに言わせてもらえれば説明は出来るだけ詳しくしましょう。
PICはマイクロチップ社のPICマイコンという事でレスしましたが、違うPIC(あるのか?)だと
回答がまったく違ってしまいます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 コードプロテクトって? コメント数:  2件
  いさお  | merizo@smile.ocn.ne.jp 2002/12/03 (火) 18:56
PICのコードプロテクトって解除できるんですか?
コードプロテクトがかかってしまった、PICをコピーできるんですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^3: プリンタポートのアドレス コメント数:  0件
  izumi   | izumi@d.kisarazu.ac.jp 2002/12/03 (火) 09:32
軽石さん,なひたふさんアドバイスありがとうございました.
とりあえず,簡単な回路の制御はできました.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 FPGAで音声合成 コメント数:  2件
  あつぴ  | atsupi@kun.ne.jp 2002/12/02 (月) 13:48
はじめまして。

趣味でFPGAを使った音声合成機器を作りたいと思っています。
自動販売機なんかが喋ってくれますよね。ああいうものです。

VHDLで簡単な回路は作れるようになったのですが、それ以上の
こと(DSPやアナログ関連)のことはまだよく分かっていません。
何か参考になる書籍などご存知ないでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^8: シリーズレギュレータの電圧変動 コメント数:  0件
  なひたふ 2002/11/30 (土) 23:59
> > 温度計測回路に挑戦してみたのでmVオーダーまで目がいってしまいました。
> > プロの方から見れば、わかったようなこと言いおって、
> > と思われたかも知れませんが、お許しください。

正確さを期待しなければ、
精密なmVオーダーの電圧計測は可能ですよ。
レーザの波長安定化のために、
1000分の一℃レベルの温度計と、
PID制御による安定化を行ったことがあります。

温度なり、電圧の相対値を求めることはそう難しくありません。
難しいのは絶対値を求めることですが、
温度や電圧の絶対値が要求されることは多くはないはずです。

絶対的な基準は、AD590などのリファレンスICを使用して
キャリブレーションを行うのがよいのではないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^7: シリーズレギュレータの電圧変動 コメント数:  1件
  軽石 2002/11/30 (土) 17:56
> ご指摘有難うございます。

いえいえ、軽石は時々ウソをつく(いや間違いを教えてしまう)のでご注意ください。m(_._)m

> 独学なので、立派な教科書はありません。成書と言うにはちょっと貧弱だったかも。
> 「定本 トランジスタ回路の設計」「同 続」
> 「定本 オペアンプ回路の設計(だったかな)」
> などこの手の本が5〜6冊あるでしょうか。
> あと、トラ技関係のMOOKみたいのが7〜8冊あります。

もっていても理解できない場合が多いので、少なくてもきちんと理解されればそれで十分だと思います。

> 温度計測回路に挑戦してみたのでmVオーダーまで目がいってしまいました。
> プロの方から見れば、わかったようなこと言いおって、
> と思われたかも知れませんが、お許しください。

独学で勉強されたとはすごいことです。
プロだって知っているような事を言いながら実はよく知らない事もあります。(^^;
個人の設備で精度を云々というのは10-100mV程度が限界ではないかと思います。
(プロの世界でもこの当たりをキチンとやるには結構な設備が必要だと思います)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re^4: 15kHz〜20kHzの発振回路 コメント数:  0件
  タンタン 2002/11/30 (土) 08:47
> ヒステリシスがメーカーによって違うからでしょうか?

主な理由はそうです。ロジックICをアナログ的に使用する場合は、
常に注意が必要です。同一メーカーでも、末尾が異なるだけで
動作が変わることもあります。

> だとすれば、普通のHC14に正帰還をかけてシュミットトリガ化すれば
> 自分であるていどヒステリシスを決められるので、

これをするのなら、オペアンプやコンパレータを使ったほうがいいです。

クロック発生用にお勧めは、PIC16F628 です。
外付け部品無しで、4MHzを発振し、クロックの1/4,1/16,1/64を
2〜256分周して出力出来ます。
抵抗1本で周波数が調整できるし、水晶発振子を付ければ、20MHzまで
発振できます。
プログラムすれば、複数のクロックや、非常に遅いクロックも
出力できるし。
PIC16F628は、マイコンなので、プログラムが必要ですが、
プログラムの書込み器は、\1000程度で作成できます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re^3: 15kHz〜20kHzの発振回路 コメント数:  1件
  なひたふ 2002/11/29 (金) 22:18
> > 後は、HC14などのシュミットトリガー入力のインバータがあれば、
> > 入力とGNDの間に適当なコンデンサ、入力と出力の間に数kΩ〜1MΩの
> > 抵抗を繋げば、発信します。メーカーが変わると発信周波数が変わったり
> > するので、メーカーを決めた上で、CRの値を合わせこみます。

ヒステリシスがメーカーによって違うからでしょうか?
だとすれば、普通のHC14に正帰還をかけてシュミットトリガ化すれば
自分であるていどヒステリシスを決められるので、
メーカーの差を吸収できたりしないでしょうか?

>  おまけ。(小さい声)IP丸見えだよな。(^^;)
この掲示板はNiftyが作ったCGIなので、IPは見えない仕組みです。
ご安心してお書き込みください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re^2: プリンタポートのアドレス コメント数:  1件
  なひたふ 2002/11/29 (金) 22:14
> ポートのアドレス確認を行って,ご自分のPCは0x378と確認されて
> > いますが,画面のどこで0x378とわかるのでしょうか?
>

非NT系のWindowsでは、メインメモリの40:80に書かれています。

NTや2000、XPではどのようにするのか正確な方法はわかりませんが、
0X378と0X278と0X3BCにとりあえずデータを出力して(0x55,0xaa等)、
リードバックが可能であったアドレスにプリンタがあると判断している
場合が多いようです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re: プリンタポートのアドレス コメント数:  2件
  軽石 2002/11/29 (金) 16:27
こんにちはizumiさん

> 初めて投稿させていただきます.
> 読むたびに「すごいなぁ」と思わずにいれません.
> 早速ですが,質問があります.
> なひたふさんの「電子回路の豆知識」の「コネクタと規格の豆知識」の中に
> プリンタポートの解説が書かれていますが,その中で「debug」による
> ポートのアドレス確認を行って,ご自分のPCは0x378と確認されて
> いますが,画面のどこで0x378とわかるのでしょうか?

じつはプリンタポートが使えるポートアドレスは決まっているんです。
いくつか選択できるうちの一つが0x378なんです。
(この値がデフォルト値みたいです。)

自分のPCがどうなっているか確認する方法ですが、BIOS設定画面の中で設定値を見る事ができます。

> よろしくお願いします.

MSDOSの頃は直接叩いて遊べたのですが、WINDOWSになってから自由度が減って敷居が高くなってしまったのは残念ですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re^2: 15kHz〜20kHzの発振回路 コメント数:  2件
  不具合エンジニア 2002/11/29 (金) 14:33
どうも・・・すみませんです。(^^;)

 トランジスタの発振については定数計算を間違えていたようです。
 回路構成等を考えるとトランジスタより論理回路ベースの方が
望ましい(というよりHC14をなんとか載せる)と思われます。

> 後は、HC14などのシュミットトリガー入力のインバータがあれば、
> 入力とGNDの間に適当なコンデンサ、入力と出力の間に数kΩ〜1MΩの
> 抵抗を繋げば、発信します。メーカーが変わると発信周波数が変わったり
> するので、メーカーを決めた上で、CRの値を合わせこみます。

 こちらを試してみます。安定は難しいかなぁ。
 おまけ。(小さい声)IP丸見えだよな。(^^;)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re^6: シリーズレギュレータの電圧変動 コメント数:  2件
  EF64 2002/11/29 (金) 12:23
ご指摘有難うございます。

独学なので、立派な教科書はありません。成書と言うにはちょっと貧弱だったかも。
「定本 トランジスタ回路の設計」「同 続」
「定本 オペアンプ回路の設計(だったかな)」
などこの手の本が5〜6冊あるでしょうか。
あと、トラ技関係のMOOKみたいのが7〜8冊あります。

この辺の本を頼りにいろんな回路を作ったりこわしたりしてます。
さいわい火事は出していませんが、過電圧、過電流で煙が出たことは何度も
あります。普段は見た目上安定して動いてればよしとしているのですが、たまたま
温度計測回路に挑戦してみたのでmVオーダーまで目がいってしまいました。
プロの方から見れば、わかったようなこと言いおって、
と思われたかも知れませんが、お許しください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re^4: シリーズレギュレータの電圧変動 コメント数:  0件
  EF64 2002/11/29 (金) 11:53
朝早くから有難うございます。

大変良くわかりました。独学なので実際的なことがわからない場面がよくあります。
またご指導をお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 プリンタポートのアドレス コメント数:  3件
  izumi   | izumi@d.kisarazu.ac.jp 2002/11/29 (金) 11:26
初めて投稿させていただきます.
読むたびに「すごいなぁ」と思わずにいれません.
早速ですが,質問があります.
なひたふさんの「電子回路の豆知識」の「コネクタと規格の豆知識」の中に
プリンタポートの解説が書かれていますが,その中で「debug」による
ポートのアドレス確認を行って,ご自分のPCは0x378と確認されて
いますが,画面のどこで0x378とわかるのでしょうか?
よろしくお願いします.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re: 24V−48V切り替え回路 コメント数:  0件
  タンタン 2002/11/29 (金) 08:12
> http://mick-inaba.hp.infoseek.co.jp/econo_move/tech_rep/020616/index.html
>
> 上のURLのHPに12V-24Vの切り替え回路が参考として
> ありました.
>
> しかしFETを使用して切り替えを行っているようなのですが、
>
> 回路を組んでもらえないでしょうか?
> よろしくお願いします.

回路に若干の問題がありますが、動作としては単純なものです。
むしろ、実装技術のほうが問題です。自信のある人に頼みましょう。

目的とか、場所、仲間の事が、一番の問題ですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re^3: シリーズレギュレータの電圧変動 コメント数:  1件
  タンタン 2002/11/29 (金) 07:53

> もう一つ、シリーズレギュレータは非反転増幅器にエミッタフォロワを
> 接続した様に見えますが、なぜ出力に大容量のケミコンがいるのでしょう。

負荷が急変した時の電圧変動を抑えるためです。
オペアンプの速度より早い変動は、コンデンサで吸収します。
電流の変化量と、許容できる電圧変動により、値が決まります。
回路が高速(ただし、発振しやすい)、電流変化少ない、
電圧変動大きくてよい、ならば、コンデンサは小さくてよいです。
>
> ボード線図などを大した
> 測定器なしで描くのはなかなか大変です。何かいい方法はないものでしょうか。

オシロスコープは必要ですが、ステップ応答で代用しています。
#ステップ応答をフーリエ変換すれば、周波数応答になります。

レギュレータでは、負荷の大小をスイッチで切り替えて、
その時の出力をオシロで観測します。速やかに収束し、
リンギングが無ければOKです。
この方法の良い点は、非線形領域でも使用できる点です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re^3: シリーズレギュレータの電圧変動 コメント数:  0件
  タンタン 2002/11/29 (金) 07:34
> 計測器のキャリブレーションは重要だとわかって
> いるのですが、自作の場合はどうすればいいのでしょう?。

高価な測定器でも、年に1回は校正に出す必要があるので、
個人の用途では、殆ど諦めています。

> 基準電圧源はありますか?。ちなみに用途はまったくの個人用です。

LM385のようなものを使うぐらいでしょう。ただし、初期値で2%
ぐらいのバラ付はあるので、正確さを求めるならば、信頼できる
測定器で測っておく必要があるでしょう。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 Re^5: シリーズレギュレータの電圧変動 コメント数:  3件
  軽石 2002/11/29 (金) 01:49
レス早いですね。(--;「これ書いたらねます。」

> 私が書いた基準電圧源という意味は、較正用の基準電圧という意味です。
> 手元にはLM385(1.2V)がありますが、このようなものを利用しても
> 良いのでしょうか?。
> 今回はたまたま温度計測回路を自作しているので、mVまでこだわってみました。

あのうデータシートみるとAグレードで±20mVもあるんですけど?
mVオーダーをこだわる人が使うものではないと思います!

> こだわってみると、成書に書かれている温度その他の安定性が電子回路において
> 如何に問題になっているかがよくわかりました。

成書とはなにを指しているのでしょうか?
聞いた事がないのでぜひお教えねがいます。

>
> つい最近、Circuit MakerというソフトをCD−ROM付きブルーバックス
> (1500円)で入手しました。この辺を活用すれば良いのでしょうが、残念ながら日本製の半導体素子は登録されていません。

仕事で回路図を書きますがほとんどのシンボルは自分で作ります。
ライブラリーがないからと言って残念な事はないです。
1500円で使えるのは良いと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^4: シリーズレギュレータの電圧変動 コメント数:  4件
  EF64 2002/11/29 (金) 00:46
さっそくのご返事有難うございます。

私が書いた基準電圧源という意味は、較正用の基準電圧という意味です。
手元にはLM385(1.2V)がありますが、このようなものを利用しても
良いのでしょうか?。
今回はたまたま温度計測回路を自作しているので、mVまでこだわってみました。
こだわってみると、成書に書かれている温度その他の安定性が電子回路において
如何に問題になっているかがよくわかりました。

つい最近、Circuit MakerというソフトをCD−ROM付きブルーバックス
(1500円)で入手しました。この辺を活用すれば良いのでしょうが、残念ながら日本製の半導体素子は登録されていません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re^2: シリーズレギュレータの電圧変動 コメント数:  0件
  EF64 2002/11/29 (金) 00:16
ご教授有難うございます。
原因がわかりました。
結論から言うと、電圧測定に使っていた秋月のデジタル電圧計の測定値の変動の
ようです。投稿した翌朝、もう一度回路を動かしてみたらまた同じ症状が出る為、
もう一台もっていた2000円のデジタルテスターで計ってみて気がつきました。

問題の回路は「定本 トランジスタ回路の設計」やトラ技関連書籍を参考にして
組んだ、オペアンプを誤差増幅器に使ったトラッキングジェネレーターです。
負荷は一定で過熱はしてなかったはずです。保護回路は省略してしまいました。
駆動する計測回路内で抵抗分圧で基準電圧を作っていたため変だ!と気がついた
のです。

40の手習いで始めた電子回路の勉強なので、なるべく自分で理解しきれるものを
製作するようにしています。シリーズレギュレーターはいい題材なので今までに
何回も製作していますが、今回もいろんな意味でずいぶん勉強になりました。
またいろいろ教えて下さい。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^3: シリーズレギュレータの電圧変動 コメント数:  5件
  軽石 2002/11/28 (木) 23:27
こんにちはEF64さん

> 2000円のデジタルテスターではまったく変動しません。

ありゃりゃ。

> 電圧計内に微小なショートでもあるのでしょうか。計測器のキャリブレーションは重要だとわかって
> いるのですが、自作の場合はどうすればいいのでしょう?。

どの程度の精度が必要かによると思います。
mVオーダーにこだわりたいのであればそれなりの金額を出す必要があると思います。
私が望む精度は0.01V程度までで、それ以下はあきらめます。

> 自作すろことに問題があるのでしょうが、手軽に入手あるいは自作可能な
> 基準電圧源はありますか?。ちなみに用途はまったくの個人用です。

精密測定用でしょうか?それとも実験用電源の事でしょうか?
18V−2Aくらいの安定化電源(菊水製)だと最近は中古で5000円位で購入できます。

> 電子回路を独学で勉強始しめて約2年になりますが、ボード線図などを大した
> 測定器なしで描くのはなかなか大変です。何かいい方法はないものでしょうか。

ボード線図は回路図、測定器はCADでしょうか?
フリーのCADもあるので最近は昔ほど辛くはないと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 24V−48V切り替え回路 コメント数:  1件
  尾藤  | bitteru@hotmail.com 2002/11/28 (木) 23:13
<電圧切り替え回路24V−48V切り替え回路>

<仕様>
 入力電圧 (バッテリー12V*2の24V)*2
 小信号系が壊れても使用が可能
 損失がスイッチ切り替えの場合と同等以下
 壊れない
 出来るだけ小型軽量

http://mick-inaba.hp.infoseek.co.jp/econo_move/tech_rep/020616/index.html

上のURLのHPに12V-24Vの切り替え回路が参考として
ありました.

しかしFETを使用して切り替えを行っているようなのですが、勉強不足なため・・・・・・.

回路を組んでもらえないでしょうか?
よろしくお願いします.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^2: シリーズレギュレータの電圧変動 コメント数:  9件
  EF64 2002/11/28 (木) 22:59
ご教授ありがとうございました。

書き込みした翌朝もう一度回路を動かしてみたら、なんと電圧測定に使っていた
秋月のデジタル電圧計の値の誤差(?)であったようです。もう一台手元にあった
2000円のデジタルテスターではまったく変動しません。電圧計内に微小な
ショートでもあるのでしょうか。計測器のキャリブレーションは重要だとわかって
いるのですが、自作の場合はどうすればいいのでしょう?。
自作すろことに問題があるのでしょうが、手軽に入手あるいは自作可能な
基準電圧源はありますか?。ちなみに用途はまったくの個人用です。

もう一つ、シリーズレギュレータは非反転増幅器にエミッタフォロワを接続した様に見えますが、なぜ出力に大容量のケミコンがいるのでしょう。オペアンプの出力に
容量負荷が加わると発振すると成書には書いてありますが。

電子回路を独学で勉強始しめて約2年になりますが、ボード線図などを大した
測定器なしで描くのはなかなか大変です。何かいい方法はないものでしょうか。
現在は秋月のMAX038を使った広帯域ファンクションジェネレーターを製作中です。

いろいろ書いてすみません。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re: シリーズレギュレータの電圧変動 コメント数:  10件
  タンタン 2002/11/28 (木) 09:10
> 自作のシリーズレギュレータの出力電圧がわずかですが変動します。
> 電源を入れて10分くらいたってから、数秒の周期で出力電圧が50mVくらい
> スーっと下がってフっと戻ります。

> もしや、と思って出力段に入っている100μFのケミコンを取っ払ったところ
> 電圧変動は消失しました。

色々な原因が考えられますが、発振の可能性について言うと

出力のコンデンサは、大きすぎると発振し易くなります。
必要な範囲で小さいものを使用しましょう。
どうしても大容量が必要ならば、LCフィルタを追加する形にします。

発振は、高周波だけでなく、低周波でも起きます。
間欠的に高周波で発振するために、低周波の変動に見えることもあります。
アースのとり方が悪いために発振することもあります。

3端子レギュレータを使用したほうが悩みが少なくていいでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re: シリーズレギュレータの電圧変動 コメント数:  1件
  なひたふ 2002/11/27 (水) 23:55
気持ちの悪い現象ですね。
シリーズレギュレータは、3端子レギュレータを使用しましたか?

また、負荷は一定ですか?それとも出力を開放していますか?

また、レギュレータが暖まってませんか?
温度による保護回路がまさに動こうとしているのかも?

> 何台か製作ましたがこんなことは初めてです。mV単位の計測回路用の電源として
> 製作してるしのでこれは困った現象です。

アプリケーションとなる計測回路がオペアンプで作られていて、
ちゃんと負帰還がかかっていれば、
電源電圧の変動が出力に影響するのは
非常に低いレベルに抑えられるはずです。
mV単位の計測回路用電源でも問題ないかもしれませんよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 シリーズレギュレータの電圧変動 コメント数:  13件
  EF64 2002/11/27 (水) 23:22
二回目のお便りです。
自作のシリーズレギュレータの出力電圧がわずかですが変動します。
電源を入れて10分くらいたってから、数秒の周期で出力電圧が50mVくらい
スーっと下がってフっと戻ります。シリーズレギュレーターは今まで見よう見まねで
何台か製作ましたがこんなことは初めてです。mV単位の計測回路用の電源として
製作してるしのでこれは困った現象です。誤差増幅器用のオペアンプを別の機種に
変えても同じ、発振にしても周期が長すぎるので妙に思いました。
もしや、と思って出力段に入っている100μFのケミコンを取っ払ったところ
電圧変動は消失しました。
ケミコンの劣化かなにかが原因なのでしょうか?
どなたかご意見をお聞かせください。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^7: 付録続報 コメント数:  0件
  shirou   | a-ushiro@hdl.coo.jp 2002/11/27 (水) 12:08
> 聞く所によると、最新のツールではJTAG対応していないとか。
> 古いMAX+PlusIIを使うのですか?
いいえ、あたらしい、ソフト(Quartus)でも、jtagはつかえます。

いままでと同じ値段で買うには、年間購読すればよいかも>デザインウエーブ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re: 15kHz〜20kHzの発振回路 コメント数:  3件
  タンタン 2002/11/27 (水) 08:24
> ふたたびお邪魔します。
>
>  15〜20kHz位の発振回路を非常に安価に構成したいの
> ですが・・・

555を使うのが簡単でしょう。秋月でICM7555が1個\60で販売しています。

後は、HC14などのシュミットトリガー入力のインバータがあれば、
入力とGNDの間に適当なコンデンサ、入力と出力の間に数kΩ〜1MΩの
抵抗を繋げば、発信します。メーカーが変わると発信周波数が変わったり
するので、メーカーを決めた上で、CRの値を合わせこみます。

千石電商でTC74HCAPが\50で売っているので、これが安いかな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re: 15kHz〜20kHzの発振回路 コメント数:  0件
  なひたふ 2002/11/27 (水) 00:45
>  15〜20kHz位の発振回路を非常に安価に構成したいの
> ですが・・・
>  なにかマルチバイブレータのネタありませんか?
>  よろしくお願いします。

おかしいですね・・
トランジスタは15〜20kHzの周波数は最も得意なはずです。
CRの時定数を50μ秒として、
C=1μF、R=50Ω → T=50μ秒
C=0.1μF、R=500Ω → T=50μ秒
C=0.01μF、R=5000Ω → T=50μ秒
C=0.001μF、R=50000Ω → T=50μ秒

温度特性とか、電源電圧の変動とか、周波数の安定性とか、
波形が正弦波じゃないとかいろいろとありますが、
C=0.001μF、R=50kΩで無安定マルチバイブレータを
組んでみては如何でしょうか?

http://www.nahitech.com/temp/mmb1.png 回路図
http://www.nahitech.com/temp/mmb2.png 発振波形
http://www.nahitech.com/temp/mmb3.png 発振周波数特性
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re^3: IGBTについて コメント数:  0件
  なひたふ 2002/11/26 (火) 23:43
> FETは逆方向でも動作する。
それは大きな違いですね。うっかり忘れてました。

あと、FETとIGBTを比較することはあまり考えられないので、
FETはパワーMOSFETのことを指すのだろうと勝手に解釈しました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^2: IGBTについて コメント数:  1件
  通り掛かり 2002/11/26 (火) 23:37
> > すみませんが、FETとIGBTの違いがよく解りません。

オン状態の時
FET 抵抗として振る舞う
IGBT トランジスタと同様

FETは逆方向でも動作する。

−積極的な利用は、電源などで順方向電圧降下がとても低い
ダイオードとして使われる。
(MOS−FETとしての順方向ではOFF逆方向時にON
で動作させる)
オンの時、微少な電流や逆方向の電流に対しても抵抗
として振る舞うのでアナログスイッチとしても使われる。
(流れる信号に対するひずみが少ない)

対してIGBTは
出力部分はトランジスタなので逆方向の動作ができない。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.