なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: メモリについて コメント数:  0件
  軽石 2003/12/09 (火) 00:13
> SRAMの場合は、アドレスバス、データバスの配線を入れ替えても
> (例えば、A3とA7、D2とD6を入れ替えても)動作するのは理解できますが、
> DRAMの場合は入れ替えるとまずいというのが分かりません。

DRAMはSD−RAM、D−RAM、DDR−SDRAMなど色々な種類があります。
メーカによって仕様も微妙に違う(最近は殆ど同じ)場合があります。

アクセス方法も何種類かありますので、できる場合とできない場合があります。

アドレスはいろいろ問題が生じますが、データバスは入れ替えても特に問題はないです。

> また、CPUからのアドレスをマルチプレクサする場合、DRAMロウ・アドレスはCPUのアドレス上位、カラム・アドレスは下位アドレスでいいのでしょうか?
>
> どなたかよろしくお願いします。

普通はそうします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re: メモリについて コメント数:  0件
  shirou 2003/12/08 (月) 23:13
あちこちに同じ質問をするのは関心できませんね。
回答がなかったときほかに質問したらどうですか。
http://www.nazo-fjt.com/cgi-bin/bbs/pt.cgi?room=elehobby
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^3: MacでJtag、今度はnative コメント数:  1件
  vachouse 2003/12/08 (月) 22:15
> VPCが間に入ると、ターゲットからデータが送られてくるたびに
> 送→受→送→受→送→受→送→受→送→受→送→受→送→受→
> と、切り替わり、その結果、1msづつ、計2ms遅延するのかもしれません。

私の行った変更は、naxjpのUSB機能は一切利用していません。
単に、レジスタの読み書きを、read/write/ioctlシステムコールで
置き換えただけです。アダプタにおいて、USBのデータの向きは、
naxjpのレジスタアクセスの読み書きの向きと同じです。

今回、私が分からないのは、VPCからMacネイティブに変えて、
TCKの周期が1/5以下になったのにも関わらず、処理時間が2割しか
減少しないことでした。

なひたふさんが言われるように、USBでデータの向きが変わる時に大きく時間を
ロスし、そこで主なオーバーヘッドが生じていると考えれば、納得できます。
と同時に、私の方法の限界も見えます。
対策としては、一方通行のシリアルアダプタを2本用意して、、、、
USBの勉強をした方が良さそうです。Macには、Linux同様、LibUSBという、
フリーのUSBツールが有りますので、いずれは、MacネイティブでUSBダウンロード
を出来るようにしたいですが、まずは、VPCでUSBダウンロードですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^2: MacでJtag、今度はnative コメント数:  2件
  vachouse 2003/12/08 (月) 22:14
お返事有り難うございます。
> NAXJPは、まだRS-232CにもKeyspan USA-19QWにも対応していません。
> どのようにして接続されたのでしょうか?

naxjp-vpcの時と同様に、レジスタアクセスを、UNIXシステムコールread, writeで
置き換えました。Macの場合、USB-Serial ケーブルが接続されると、
/dev/cu.[ケーブル名] という、デバイスファイルができるので、それをオープンして、
後は、本当のシリアルポートと同じ扱いになります。
(残念ながら、USB-パラレルケーブルは、単なるプリンタになってしまいます。)
Macでマトモに動いてからと思いましたが、とりあえず、ソース(naxjp-osx, AVR)をお送りします。
README.osxは、後日ということで。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re: メモリについて コメント数:  2件
  なひたふ 2003/12/08 (月) 21:57
> DRAMの場合は入れ替えるとまずいというのが分かりません。

SRAMはどのアドレスでも同じ時間でアクセスできますが、DRAMは近いアドレスへは高速にアクセスできるような仕組みがあります。ファストモードや、ページモードという仕組みです。DRAMが持つ、それらの仕組みと、DRAMコントローラの間で混乱が生じるでしょう。

次に、DRAMはリフレッシュをするということです。リフレッシュはRASのアドレスのみ変えて実行されます。また、全アドレスを何ms以内にリフレッシュしなければならないという規定があります。
つまり、同一のRASアドレスの中では、全てのCASアドレスに対して同時にリフレッシュが行われます。アドレス線を入れ替えた時、下手すると、一連のリフレッシュサイクルの間に2度リフレッシュされたり、1回もリフレッシュされないアドレスがでてきます。


> また、CPUからのアドレスをマルチプレクサする場合、DRAMロウ・アドレスはCPUのアドレス上位、カラム・アドレスは下位アドレスでいいのでしょうか?

そのとおりです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 メモリについて コメント数:  5件
  さんじ 2003/12/08 (月) 20:13
どうもはじめまして。
マイコンとメモリの接続に関してお聞きしたいのですが。

SRAMの場合は、アドレスバス、データバスの配線を入れ替えても
(例えば、A3とA7、D2とD6を入れ替えても)動作するのは理解できますが、
DRAMの場合は入れ替えるとまずいというのが分かりません。
また、CPUからのアドレスをマルチプレクサする場合、DRAMロウ・アドレスはCPUのアドレス上位、カラム・アドレスは下位アドレスでいいのでしょうか?

どなたかよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re: PWM信号→DC信号 変換回路 コメント数:  1件
  Nyya 2003/12/08 (月) 18:46
アナログ回路でなければならないのでしたら別ですが、
PIC等で入力されるPWM信号のDuty比を測定して、
R2-RのD/Aコンバータに出力するのもありではないかと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re: PWM信号→DC信号 変換回路 コメント数:  0件
  youju 2003/12/08 (月) 14:39
> インバータ電源の調光に外部からPWM信号(100Hz〜350Hzの範囲
> で最終的に決定される。)を受けますが、使用するICの関係で
> DC信号に変換したいと考えています。

LPFでも入れてみては?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 PWM信号→DC信号 変換回路 コメント数:  5件
  ひであき 2003/12/08 (月) 12:26
インバータ電源の調光に外部からPWM信号(100Hz〜350Hzの範囲
で最終的に決定される。)を受けますが、使用するICの関係で
DC信号に変換したいと考えています。
色々調べるとオペアンプを用いた積分回路を使用すればいいような
感じですが、具体的な設計方法がわかりません。
単純にそのままの回路をシュミレーションしてもDCに変換されません。
何か参考になる資料は有りませんでしょうか?
また、PWM信号の位相を任意に変換できるような回路、専用ICも探しています。
色々お尋ねして申し訳ありませんが宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re: MacでJtag、今度はnative コメント数:  3件
  なひたふ 2003/12/08 (月) 03:10
こんばんは

> naxjp <-> USB-Serial adapter (Keyspan USA-19QW) <-> AVR <-> Xilinx XC95144XL

ちょっと疑問に思ったのですが、
MitouJTAGはRS-232Cに対応しましたが、
NAXJPは、まだRS-232CにもKeyspan USA-19QWにも対応していません。
どのようにして接続されたのでしょうか?

> VPCの時は、USB-Parallelケーブルで、TCKの1周期が2msなのに、時間は、25分です。
NAXJPでNahitechUSBを使うときには、
数十バイトまとめて送信する仕様になっていますので、
TCKが2msかかるというのは遅いです。
うーん、なんでだろ。

ちょっと想像の世界になってしまいますが、
Windowsのネイティブ環境では、FT245のデバイスドライバの働きによって
受信したデータが自動的にバッファされるため、
送→送→送→送→送→送→送→送→受→受→受→受→受→受→受→受
という効率のよい送信スタイルになるのですが、
VPCが間に入ると、ターゲットからデータが送られてくるたびに
送→受→送→受→送→受→送→受→送→受→送→受→送→受→
と、切り替わり、その結果、1msづつ、計2ms遅延するのかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 MacでJtag、今度はnative コメント数:  4件
  vachouse 2003/12/07 (日) 18:27
なひたふさんの、USBでJtagの記事を参考にして、naxjpをVPCを使わずに、Macで走らせてみました。
結果から言うと、VPCの時と、実行時間がたいして変わらず、ちょっと、残念です。
データの流れは、
naxjp <-> USB-Serial adapter (Keyspan USA-19QW) <-> AVR <-> Xilinx XC95144XL
ボーレートをマイコンの性能ぎりぎりの、115200にして、
TCKの1周期が0.5ms以下になって、LEDの点滅も見えなくなり、
デューティー比のアンバランスも解消されたのにも関わらず、
ダウンロード時間は、20分でした。
VPCの時は、USB-Parallelケーブルで、TCKの1周期が2msなのに、時間は、25分です。
ただ、TDOのLEDの点滅間隔は、両者とも、あまり変わりません。
今回は、どこかで、時間をロスしている感じです。

マイコンは、UARTから1バイト読んで、MSBが立っていた時はTDOを読み込んでこれを返し、
そうでない時は、そのまま、デバイスに渡すようにしました。
naxjpでは、inpの際に、まず、MSBを立てて1バイト送り、すぐに、
1バイト取り込むようにしました。
outpの時は、MSBを落として1バイト送ります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 USBでJTAG コメント数:  0件
  なひたふ 2003/12/06 (土) 06:09
> でも、多分、なひたふさんの、USBダウンローダを待ちます。

とりあえず、FT245BMをつかったUSB-JTAGケーブルの回路を公開します。
NAXJPとMitouJTAGで使うことができます。
ケーブルを作るのは、部品の入手性の問題やフラットパッケージの実装
などがあるので、慣れていないとちょっと面倒かもしれません。

http://www.nahitech.com/jtag/nusb/
このWebサイトの情報は、完全なチェックを行っていないので、
もしかしたら回路図やVHDLソースに間違いがあるかもしれません。
おいおい確認していきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re: MITOUJTAG alpha5 の使用レポート コメント数:  0件
  なひたふ 2003/12/06 (土) 02:20
こんばんは

ご感想ありがとうございます。

> ・グラフィック関係のインターフェース機能が強化・改善され
>  とても使いやすくなりました。
ありがとうございます。

> ・ロジックアナライザモードが、不安定になった気がします。
>  ハングアップすることがあります。
たしかに、おっしゃるとおりです。
ロジアナの部分は触っていないのですが、なぜか不安定になった気がします。

ロジアナの部分は次回の更新で、見たい信号を選択できるようにするなどの改良をするので、その機会に抜本的に見直してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re: naxjpのダウンロード時間について コメント数:  0件
  なひたふ 2003/12/06 (土) 01:57
こんばんは

>複雑な回路になったらダウンロード時間が2倍、3倍となってしまうようだと、
>使い物にはならないことに、ふと、気が付きました。

幸いなことに、同一のデバイスであれば、同じです。
時間が長くなるようなことはありません。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 MITOUJTAG alpha5 の使用レポート コメント数:  1件
  せき 2003/12/06 (土) 01:19
MITOUJTAG alpha5 を使用した感想を報告いたします。
・グラフィック関係のインターフェース機能が強化・改善され
 とても使いやすくなりました。
・バイトブラスターが標準装備になり、AUTOFXの問題も解消され、
 快適に使用できています。
 ただし、アルテラのガードキーを付けていると、動作しないことが
 分かりました。この理由については、原因を調べてみようと思います。
・ロジックアナライザモードが、不安定になった気がします。
 ハングアップすることがあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 naxjpのダウンロード時間について コメント数:  1件
  vachouse 2003/12/05 (金) 22:38
naxjpでjedファイルをダウンロードする場合、同一デバイスでは、どのような回路でも、
ダウンロード時間は同じと考えて良いでしょうか?
先日書きましたように、Macから、単純な回路を何とかダウンロードできるようになったものの、複雑な回路になったらダウンロード時間が2倍、3倍となってしまうようだと、使い物にはならないことに、ふと、気が付きました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re: ダーリントン接続ってなんですか? コメント数:  0件
  なひたふ 2003/12/05 (金) 17:06
> ダーリントン接続の特徴、利点、欠点って何ですか?誰か教えてください。お願いします。

学校の宿題かレポートでしょうか?

googleで「ダーリントン接続」を検索するなどしてみましょう。
今の時点で、日本語で600件のサイトが検索にかかりました。
夢人さんは、そのうち何件くらい読まれましたか?

600件もあればわかりやすく解説しているサイトも少なからず
みつかると思いますよ。読んでみてわからない点があれば、
再度質問してください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 ダーリントン接続ってなんですか? コメント数:  1件
  夢人(最近禿る夢を見た。) 2003/12/05 (金) 15:35
ダーリントン接続の特徴、利点、欠点って何ですか?誰か教えてください。お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re: 【結果】RS232CでJTAG コメント数:  1件
  vachouse 2003/12/04 (木) 23:45
お疲れ様でした。
1周期に4msと言うのは、奇しくも私の場合と同じですね。
パラレルとシリアルのi/fの違いはありますが。
このオーバーヘッドが主にUSBで発生しているとすると、
USB越しに1ビットずつ送るのは、効率が悪すぎると言うことですね。

私にとって、ダウンロードに20分と言うのは、許容範囲です。
(VPCを使ってると、遅いのにはすぐ慣れます。)
回路の設計には、遥かに時間がかかる訳ですし。

USBやマイコンは、私には、ちょっとハードルが高いので、
L-CardにJtagインターフェイスを接続して、Linux上のnaxjpで
ダウンロードと言うのも、面白いかも。
ただ、問題は、L-Cardが高価なことです。PCが買えます。

でも、多分、なひたふさんの、USBダウンローダを待ちます。
その間、今の回路のうち、マイコンをラッチ回路で置き換えて、
上手くいったら、Mac用のダウンロードケーブルとして、
ケースに入れようと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 【結果】RS232CでJTAG コメント数:  2件
  なひたふ 2003/12/04 (木) 19:05
MitouJTAGにこのDirectRS232C制御ルーチンを組み込んでみました。

XC18V02→XC2S200FG256→XC18V02→XC2S200FG256
のチェーンで、1個のXC18V02を書き込んでみると、
およそ4分で書き込みとベリファイが終了しました。
(パラレルポートではおよそ20秒で書き込みとベリファイが完了)

速度はパラレルポートのおよそ10分の1なのですが、
必ずベリファイでエラーが発生します。

そのエラーを詳細に調べてみると、TDOから受け取ったビットが
稀に反転するという類のものであって、TCKを数え忘れたり、
TCKを2回数えてしまったというものではないようです。
エラーチェックもなしにRS232Cの制御線を使ったのが
いけなかったのでしょう。

ちなみに、USB-RS232C変換ケーブルを使うと、
速度的に遅くてとても実用には耐えられませんでした。
デバイスの自動認識に10秒ほどかかり、
XC2S200FG256のバウンダリスキャンレジスタ(1022ビット長)
を1回サンプリングするのに数秒かかります。

結論として、RS232Cの制御線で直接JTAGをアクセスするのは
速度と信頼性の面で実用的ではなく、RS232Cの先に
PICかAVRを置いてアクセスするべきであると考えられます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re^3: RS232CでJTAG コメント数:  3件
  なひたふ 2003/12/04 (木) 16:02
一方、同じマシンで、USB-RS232C変換ケーブル(ELECOM UC-SGT)
を使用した場合、1ビットの送受信の周期は4ms(250Hz)でした。

RTSの制御だけでも、3msかかります。

RS232C変換に限らず、USBはどうやら、送受信の方向を切り替える時に
大きく時間をロスするというのは気づいていたのですが、
それ以上の遅さです。
これはちょっと実用的ではないかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^2: RS232CでJTAG コメント数:  4件
  なひたふ 2003/12/04 (木) 15:26
実際に行ってみました。
マシン:Dell Precision 360 PentiumIV 2.4GHz
OS:Windows2000 Professional
ポート:COM1 (USB経由ではない)

RTSやDTRの制御だけを行うと14.5μ秒かかります。
TXDはきちんと115200bpsが出ています。
0x55を送信した場合、TCKの周期は理論どおり17.3μ秒(57kHz)でした。

一方、最も厳しい条件を与えるため、
JTAGのTDIを1ビットごとに変えて、
さらにTDOからループバックデータを読み出す実験を行いました。
この場合、1ビット送受信のための周期は93.2μ秒(10.7kHz)でした。

おそらくパラレルポートの20分の1くらいの速度だと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re: RS232CでJTAG コメント数:  5件
  タンタン 2003/12/04 (木) 08:03
> RS232Cのフロー制御を使ってJTAGにアクセスするという
> 無謀な計画を考えました。
> http://www.nahitech.com/jtag/direct232c/direct232c.html

> もし上手くいけば、Windowsだけでなく、USB経由でLinuxやMac、

RS232を使った、PICライターのソフトを作っていますが、
その中で、EscapeCommFunction() に、SETBREAK、CLRBREAK を送ることで、
TXD をオン/オフしています。

USB-RS232変換器として、ARVELの"USBドックミニ(HDCUSM)"を使用してみたところ
動作はするものの、速度が遅くて使い物になりませんでした。
ちゃんと計っていないんですが、1/100以下でしょうか。
(普通の使用法では、大きな問題はありません。)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 RS232CでJTAG コメント数:  6件
  なひたふ 2003/12/04 (木) 04:56
RS232Cのフロー制御を使ってJTAGにアクセスするという
無謀な計画を考えました。
http://www.nahitech.com/jtag/direct232c/direct232c.html

明日から実験してみます。
もし上手くいけば、Windowsだけでなく、USB経由でLinuxやMac、
BSDなどでも簡単に動作するのではないかと思います。

ただ、素直にPICやAVRを使う場合に比べて性能面で劣るでしょう。
性能や機能の改善のためには、PICでRS232C〜JTAGの変換プログラムを組めばいいわけですが・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^3: MacでCPLD、成功です コメント数:  0件
  vachouse 2003/12/03 (水) 22:26
なひたふさん、レス有り難うございます。
Mitoujtagは、たぶん、そのままで、wineで動くと思います。
wineから、直にレジスタアクセスも可能ですので、最近のマシンなら
スピードもそれほど問題にならないでしょう。
XilinxのWebPackは近々、nativeのLinux版が出ます。
そうなっても、mitoujtagをLinuxで動かす価値は、十分にあります。
webを検索すると、Linuxでダウンロードしたい人たちも、結構います。

> Windows→Wine→Linux→VPC→USB→パラレル→AVR→CPLD
> の経路で、Windowsか、Linuxか、VPC以下の部分の
> どれかを短縮することは可能でしょうか。

今回は、Windows->Wineの所をLinux nativeにして、まあ、
なんとか、許せる時間におさまりました。

> 素人考えですと、VPC上でWindowsを動かせばよいように
> 思うのですが、できませんでしょうか?

VPCには、パラレルポートが無いんです。(USBはあります。)
Linuxなら、USBでパラレルポートのエミュレーションができます。

> 逆に、VPC以下を省く方法として、
> FT245を利用したUSB-JTAGケーブルがあるのですが、

Windows版でも良いですから、使ってみたいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^2: MacでCPLD、成功です コメント数:  1件
  なひたふ 2003/12/03 (水) 02:25
こんばんは
パッチをありがとうございました。
活用させていただきます。

> さらに、mitoujtagがLinuxで動くようになると、MacでもX11が使えますから、
> Macで動く日も、そう遠くないかも!
未踏ソフトの計画書には、Linux上でGUIで動かすと書きましたし、
期限は来年の2月なので、期限までには必ず完成させなければ
ならないと痛感しています。
GtkとかGNOMEの使い方を勉強しなければ・・!!

> Elapsed time:1348590 ms
書き込みに20分はきついですね。
時間を短縮する方法をなんとか考えてみます。

Windows→Wine→Linux→VPC→USB→パラレル→AVR→CPLD
の経路で、Windowsか、Linuxか、VPC以下の部分の
どれかを短縮することは可能でしょうか。

素人考えですと、VPC上でWindowsを動かせばよいように
思うのですが、できませんでしょうか?

逆に、VPC以下を省く方法として、
FT245を利用したUSB-JTAGケーブルがあるのですが、
ようやくLinux対応版が動き出しつつあります。
もしVPC上で動かしたLinuxから直接扱えるようになれば、
動作を少し早くできるかもしれません。

これをできるだけ早く公開するようにいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re: MacでCPLD、成功です コメント数:  2件
  vachouse 2003/12/02 (火) 22:44
LinuxのドライバをMacに移植できれば、naxjpがMacで動くかも。
さらに、mitoujtagがLinuxで動くようになると、MacでもX11が使えますから、
Macで動く日も、そう遠くないかも!

以下、naxjpをVirtual PC上のLinux-Slackware9.1で動かした時のログです。
使用しているマシンは、iMac 800MHz、OSはMac OSX 10.2.4です。

[slk-1:work:56] naxjp -auto ledtest.jed -port 〜/Wine/Program\ Files/naxjp-079/ports.txt

NAXJP Ver 0.7.9 beta for Linux (C)Copyright 2003 Nahitafu
Device Chain 0. Command 'auto'. Device 'XC95144XL-5-TQ100'. File 'ledtest.jed'
Detected device 'XILINX,XC95144XL,Version 3' (ID:39608093)
Now processing writing design 'ledtest.jed'.
............................................................................................................Now processing verifying design 'ledtest.jed'.
............................................................................................................
Device 1 verify completed.
Elapsed time:1348590 ms
[slk-1:work:57]
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 MacでCPLD、成功です コメント数:  3件
  vachouse 2003/12/02 (火) 22:42
naxjpをLinuxの/dev/parportを使うように変更して、
何とか、MacでCPLDに書き込むことが出来ました!!! 
LEDをON/OFFするだけの回路ですが動作チェックもOKです。
今回は、wineを使っていないせいで、TCKの1周期を4msまで
短縮することが出来、20分程度でwriteとverifyが終わりました。
さらに、naxjpで書き込んだ後、wineから、mitoujtagを起動し、
バウンダリスキャンでピンの状態が変化するのを確認できました。

トラ技の5月号を見てから、何とかMacで出来ないものかと色々考えて、
先月、やっと、Linux対応のUSB-Parallel変換ケーブルを入手してからは、
自分の時間を全部注入してやってきましたが、なひたふさんはじめ、
皆さんのおかげで、報われました。とにかく、うれしいです。
wineでは、iMPACTは動かないと言われてますし、それ以外のISEも、
色々苦闘したのですが、wineで動かすことが出来ませんでした
(たぶん、glibcとの折り合い)。今回分かったことは、
たとえiMPACTがwineで動いても、VPCでは遅くて使い物にならないので、
オープンソースのnaxjpがなかったら、まだまだ、苦闘しなくてはいけませんでした。
なひたふさんに、変更したnaxjpをメールしておきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^7: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  0件
  なひたふ 2003/12/01 (月) 22:34
こんばんは

そういえば、パラレルポートかUSBが使えるWindowsかLinuxの
マシンをお持ちではないでしょうか?
そのマシンをサーバにして、サーバにJTAGケーブルをつないで、
LAN経由でJTAG操作を遠隔で行うということができます。
JTAGサーバはGUI環境がなくても構いません。

PHS経由でインターネットに接続し、自宅においてあるターゲット基板に
JTAGアクセス!!なんていうことができてしまいます。

時間があればPICNICとかXPortをJTAGサーバとするような開発も
行いたいのですが。。。そうすればMACでもBSDでもみんな誰でも
使えるようになりますよね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^6: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  1件
  vachouse 2003/12/01 (月) 20:49
(つづき)
あとは、シリアルポート、USB経由など考えられますが、
ダウンローダをAVRに移植するのが、作業は大変ですが、
一番確実かもしれませんね。Xilinxのサイトで、8051用のサンプルコード
(xapp058_v5.01)を見つけたのですが、これを移植して、
svfまたはxsvファイルを、Macからシリアルポートで流してやれば、
良いのかな...
それから、naxjp for Linuxのレジスタ操作の部分をシステムコールで置き換えてみる
と言う手もありですね。

いずれにしても、私としては、こんな環境でも動いてくれるMitoujtagのすばらしさを、
実感できただけでも、大変良かったです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re^5: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  2件
  vachouse 2003/12/01 (月) 20:47
(つづき)
以下、的外れを恐れずに...
iMPACTでsvfファイルを生成してみると(erase, verifyあり)、
253,570バイトあり、単純に1周期で1ビット処理できるとしても、
253570 * 8 * 25 / (1000 * 60) = 850 分かかりますね。
おそらく、1ビット処理するのに数周期を要するので、これの、
数倍となれば、絶望的な数字になります。

ブレットボードを利用したバラック配線なのでノイズもあり、
PCエミュレータ、パラレルポートエミュレータ、Windowsエミュレータと、
3段もエミュレータを通っているので、マトモに動かすのは、
やはり無理がありますね。

次に調べるのは、この遅さの原因です。
といっても、いじれるのは、USB-パラレルアダプタとAVRマイコンの
ハンドシェイクの部分と、Linuxのドライバだけですが。
マイコンのクロックは4MHz、LinuxドライバはPS/2モードをエミュレートし、
0x77aはアクセスできません。
デューティー比の非対称性が直せて、短い方に合わせられれば、今の
3分の1程度にはなりますが、焼け石です。

(つづく)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re^4: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  3件
  vachouse 2003/12/01 (月) 20:44
なひたふさん、お返事ありがとうございます。
TDOが反応しない理由は、分かりました。
デバイスへの信号が反転してました。
これは、AVR評価ボードのLEDが負論理のため、
プログラムでわざわざ、反転させてました。

今度は、書き込みが、終わりません。
手順は、以下の通りです:
(1) Chain->Detect Deviceは成功するものの、
XC95144XLTQ144と認識され、小さな正方形が表示される。
(2) そこで、Well-known deviceから、XC95144XLTQ100を選ぼうとすると、
同じエントリが沢山出るので、適当に選ぶ。
(3) 右クリックメニューから、Check Chainや、Sample&Preloadは成功。
(4) Program->Writeを行うと、まず、eraseのprogress barが半分程度で
エラーになり、OKをクリックすると、しばらくポート操作(blank?)を
行った後、writeのprogress barが現れる。barの増加は非常に遅く、
時々、ポート操作を休みながら、ゆっくり進行する。
オシロでTCKを見ると、1周期が約25msなので、無理も無いですね。
(だいたい、LEDの点滅が目で見えるというのも...)
相変わらずH/Lは非対称のままです(LがHの4倍程度)。
これが、1時間経過してもまだ、1割も終わりません。
40bps!

(つづく)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^3: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  4件
  なひたふ 2003/12/01 (月) 00:43
> 自己レスです。
> アナログオシロでTCKの波形を見ると、H/Lがとんでもなく非対称になっていて、
> これでは、マトモに動きそうにありません。マイコンの方で、なんとか波形を
> 整えるようにしてみます。

こんばんは。
オシロがあるのでしたら、
TCKとTDIとTMSを見てください。
TCKはひたすらHLHLHLHLという動作をします。
TMSは、最初と最後にちょろっと出ます。
TDIもあまり動きませんが、最初と最後にちょろっと動きます。

TMSとTCKの検査でしたら、
メインメニューのCable→TAP Managerを開いてください。
まだ未完成のダイアログが出ますが、
ここでTAPを遷移させたいステートを選択して、Button1を押すと、
TMSとTCKから信号が出てそのステートに遷移します。
この際のTMSをTCKを観察してみてください。
これで、TMSやTCK、TDIの出力をチェックできます。

TDIとTMSとTCKが出ているのに、TDOがHのままである場合、
ターゲットとする半導体との接続を再チェックしてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re^2: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  5件
  vachouse 2003/12/01 (月) 00:25
自己レスです。
アナログオシロでTCKの波形を見ると、H/Lがとんでもなく非対称になっていて、
これでは、マトモに動きそうにありません。マイコンの方で、なんとか波形を
整えるようにしてみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re: TDOが反応しません(Re:MacでCPLD)#2/2 コメント数:  6件
  vachouse 2003/11/30 (日) 22:15
(つづき)
Device->Add Well-known Deviceから、XC95144XLTQ100を選ぶと、メインウィンドウに
ICが表示されますが、そこで、Sample&Preloadや書き込み(簡単なjedファイルをWebPackで
生成して)を行うと、同様のLEDの反応で、エラーになります。
jtagの3本線はマイコンから操作できますので、デバイスとそのハンダ付けが正常かどうかを
調べるための、簡単なアルゴリズムがありましたら、教えて下さい。
その他、ヒントになるようなアドバイスがありましたら、お願いします。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 TDOが反応しません(Re:MacでCPLD)#1/2 コメント数:  7件
  vachouse 2003/11/30 (日) 22:13
かなり特殊な環境ですので、どこが悪いのかを切り分けるのに手間どりそうですが、よろしく
お願いします。まず、データの流れは、
Mitoujtag <-> wine (0x378) <-> Linux driver <-> Virtual PC <->
Mac generic USB driver <->USB-Parallel adapter <-(STROBE-BUSY-ACK handshake)->
AVR AT90S8515 <-(jtag)-> NP1001(XC95144XLTQ100)
これで、Mitoujtagから、デバイスの認識や、Sample&Preload、書き込みができません。
Webにある通り、File->Create Device Index, Cable->Connect->Parallel port(Generic) で、付属のports.txt(これにあわせてjtagをつないであります)を選択し、
Chain->Detect Deviceを行っても、認識されません(エラーメッセージ無し)。
USB-Parallel adapterとAVRマイコンの間にはLEDを用意し、jtagの各ラインの様子を見られる
ようにしてあり、TCKは激しく点滅しますが、TDOはついたまま(High-level)です。
(つづく)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re^3: Pyxis コメント数:  0件
  shirou 2003/11/29 (土) 22:58
音と、その動いているという感じがいいですね。
(下のほうにある、動画)
http://www.fsinet.or.jp/~mad/relay.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re^2: Pyxis コメント数:  1件
  shirou 2003/11/29 (土) 22:54
> ドキュメントの完成度、性能はもしかしたら段違いかもしれませんが、こちらのMAD研究所のリレー式電卓もなかなかぐっと来る物があります。
>
> http://www.fsinet.or.jp/~mad/
>
> ユニークな視点で定期的に研究成果を発表されているようですので、一度訪れてみてはいかがでしょうか?
そうですね、こちらもすごいです。
そういえば、ぼくがこの仕事をやり出した頃は、基板を起こすなんてたいへんな
ことでしたから、ユニバーサル基板の専門の組み立て屋さんがおりました。
ユニバーサルのまま納品することも普通に行われていました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re: Pyxis コメント数:  2件
  軽石 2003/11/29 (土) 16:35
> なひたふ新聞の新しいリンク先の、
> ”「Pyxis」という、361個のTTLでマンデルブロ”
> http://www.chiaki.cc/
> を拝見すると、FPGAやCPLDで作った作品も色あせてしまいますね!
> すごい。

ドキュメントの完成度、性能はもしかしたら段違いかもしれませんが、こちらのMAD研究所のリレー式電卓もなかなかぐっと来る物があります。

http://www.fsinet.or.jp/~mad/

ユニークな視点で定期的に研究成果を発表されているようですので、一度訪れてみてはいかがでしょうか?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re: Pyxis コメント数:  0件
  なひたふ 2003/11/28 (金) 23:09
> ”「Pyxis」という、361個のTTLでマンデルブロ”
> http://www.chiaki.cc/
> を拝見すると、FPGAやCPLDで作った作品も色あせてしまいますね!
> すごい。

ほんとうに凄いです。
こんなに凄い基板が4枚もあって、
オシロスコープも使わずにデバッグしたというのですから、
本当に驚きです。
配線も綺麗ですよね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re^3: CPLD書き込み コメント数:  0件
  なひたふ 2003/11/28 (金) 23:06
>このエラーはCPLDの動作に支障の出るものでしょうか。

CPLDの中で偶然今回使われなかった部分に
エラーが発生したためと考えられます。
当たり所によってはCPLD内部で出力が衝突して
損傷を与えることもありえます。

XILINXのCPLDの場合は、多少エラーがでてもそれなりに動いてしまい
ますが、FPGAはCRCコードでチェックしているので、
エラーがでると全く動きません。

エラーの原因は、毎回同じアドレスで出るのであれば、
ソフトウェアのアルゴリズムの問題が考えられます。
出る場所が毎回変わるのであれば、偶然が関係しています。

いま、MitouJTAGの次のバージョンを作っていますが、
XC18Vを書き込んでいて、うっかりしてしまうと忘れてしまうような
いろいろなことに気がつきました。
念のため、次の部分を確認してみてください。

1.パラレルポート接続のケーブルでは、VCCをつながなければなりません。
 VCCやGNDを忘れると、一応書き込みはできても不安定になります。
2.書き込みの際、後ろであまり他の常駐型プログラムを
 走らせないほうがいいです。原因はわからないのですが、
 特にインターネットにアクセスすると成功率が下がるようです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Pyxis コメント数:  4件
  shirou 2003/11/28 (金) 22:48
なひたふ新聞の新しいリンク先の、
”「Pyxis」という、361個のTTLでマンデルブロ”
http://www.chiaki.cc/
を拝見すると、FPGAやCPLDで作った作品も色あせてしまいますね!
すごい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^4: トランスの異音 コメント数:  0件
  Nonta 2003/11/27 (木) 21:13
とおりすがりクン,まっとうなハンドルに変えたらどうかしら.
トランスについて書くときは,最低限,電磁気の教科書をさらい,
この道50年の大ベテランDixon先生のセミナー受けてからが井伊鴨.
http://ti-training.com/courses/coursedescription.asp?iCSID=37981

>コンバータさん
意味不明の書き込みもありましたが,低調波とメカ的共振で
音が出るのはわかったと思います.
磁歪の原因となる磁束密度ですが,コア材は200kHzとゆーとPC44でしょうか?
使用トランスの磁束密度と音響雑音のデータをとって,磁束密度の設計最大値を,
オーヂブル・ノイズを生じない程度に抑えるのがまっとうな設計です.
('と'クンも駆動波形でなく,磁束密度の観測と書けば意味が通じたのに)

「コンバータ」を名乗っている以上,この教科書は当然読んでいますよね.
http://www.amazon.com/exec/obidos/tg/stores/offering/list/-/0792372700/all/ref=dp_pb_a
(教科書は色々さがしても,世界中でこれしかありませんでした)
教科書を良く読んで,基本に忠実にやり,PI社の実務的資料を
参考にすれば,問題は解決するでしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re^6: 演算増幅器。 コメント数:  0件
  たかゆき 2003/11/27 (木) 15:53
お手数かけました。続けて勉強をしていきたいと思います。

> 記号も,今の電気で'E'は「電界」か「エネルギー」です.
> また,'A'も,定数になったり,∞になったり,忙しそうですね.

eというのは講義で使っている講師の配布プリントを引用したものなんです。Aも増幅度ではなく定数(変数)の意味らしくて・・・

問題を理解している生徒も少ないです。それで私も混乱してしまって。

結局はVbをマイナス入力するためにRと2Rの反転増幅回路を使い、その出力の−2VbとVaを加算回路で出力するだけみたいでした。
Vout=−(Va−2Vb)となりました。
定数のAは、「やっぱりなし」の一言で・・・

Aのおかげでややこしい質問になり、すいませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re^3: トランスの異音 コメント数:  1件
  とおりすがり 2003/11/27 (木) 14:04
横レスで失礼いたします。
さて実際の駆動波形はいかがなものでしょう。
特にインダクタ負荷を矩形波でドライブする
ととんでもない波形になると想像いたします。
オシロのFFTなりスペアナで観測してみて
はいかがでしょうか。もちろんプローブ線
とトランス間で強烈な電磁誘導でコモンモード
ノイズがのってきますのでトロイダルコアに
数回巻くとかシールドをするとかしないと
実際の波形はみれないでしょうけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re^3: トランスの異音 コメント数:  0件
  安藤 2003/11/27 (木) 10:36
> メカの振動は、低調波を発生するとのことですが、トランスの異音が発生する
> 瞬間を考ると、まず最初に可聴周波数の種信号があり トランスのメカ的な共振点(数KHZ)が振動して 初めて低調波が発生して異音が聞こえると理解しています。 やはり、低調波の種信号が、電気回路にあると考えるのが妥当なのでしょうか?

メカと言うには語弊があるかもしれませんが、顕著に低調波(うわ!IMEにこの単語が登録されてました)が発生している例では、眼鏡屋の店頭に置いてある超音波洗浄器の低調波などがうるさいです。
元は48KHzとかの磁歪振動なのですが、超音波の本を読むと、洗浄液のキャビテーションの泡の生成消滅が、ドライブ周波数の奇数分の1の周期で起きるらしく、眼鏡屋の店頭では、電源リップルと合わせて、可聴周波数でギンギン鳴っているのを観察できます。
ということで、キャビテーションのようなカタストロフ的な要素が機械系にあれば、低調波は出る可能性があります。

また、回路上の検討や実測を行われているとは思いますが、案外回路全体が制御帯域外で高いQを持った共振回路になっていることがあり、外乱が磁歪振動となってよく聞こえることもあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re^2: CPLD書き込み コメント数:  1件
  CPLD初心者 2003/11/27 (木) 09:22
> Detected device 'XILINX,XC95108' (ID:09506093)
> のような表示のあとにバージョンが表示されていないでしょうか?
> (バージョンが0だと表示されません)

なひたふ様
ありがとうございます。 バージョンは2でした。そして、MITOUJTAGを使わさせていただき、書き込みをしてみると、書き込みができました。しかし書き込み終了後、verify failed at address e1、JTAG operation was failed by programming errorとエラーがでます。CPLDの方は(おそらく)正常に書き込みできています。正しい信号がでましたので。このエラーはCPLDの動作に支障の出るものでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re^2: トランスの異音 コメント数:  3件
  コンバータ  | cxc06033@tkg.att.ne.jp 2003/11/27 (木) 00:08
アドバイスありがとうごさいました。
(2).ついては、現在解読しております。
(1).「メカの振動は電気振動と異なって,低調波を発生する.」ついて
質問があります。

メカの振動は、低調波を発生するとのことですが、トランスの異音が発生する
瞬間を考ると、まず最初に可聴周波数の種信号があり トランスのメカ的な共振点(数KHZ)が振動して 初めて低調波が発生して異音が聞こえると理解しています。 やはり、低調波の種信号が、電気回路にあると考えるのが妥当なのでしょうか?
DC-DCコンバータの中に、低周波の発信元はないと思いこんでいるのが誤りなのでしょうか?

ちなみに、オープンループを測定しましたが クロスオーバー周波数が2.5KHz
位相余裕53°あり 制御系には問題が見つかりません。

資料の解読が済んだら、質問しようと思いましたが まだ時間がかかりそうなので、御礼もあわせて今回 再投稿いたします。




【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^5: 演算増幅器。 コメント数:  1件
  Nonta 2003/11/26 (水) 23:29
考え方がわかりません.
紹介した,大塚明先生の御本を読んで勉強することが望まれます.
記号も,今の電気で'E'は「電界」か「エネルギー」です.
また,'A'も,定数になったり,∞になったり,忙しそうですね.

回路は,後ろのアンプ(加算回路)の負饋還抵抗を
'R'→'KR'に変えれば,Vout=-K(Va-2Vb)にはなります.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re: CPLD書き込み コメント数:  2件
  なひたふ 2003/11/26 (水) 23:01
>NAXJPでERASEは出来るのですがwriteしようとするとTDO value is not equalと
>表示されます。また一時的に”・・・”と表示され書き込み中となり、そののち、
>先ほどと同じエラーとなります。

こんばんは。
回路に異常があるか否かは、
iMAPCTで実行してみるとすぐにわかります。

ひょっとするとXC9500シリーズの書き込みでは、
NAXJPに不具合があるかもしれません。
XC95108を接続して、
naxjp -getid XC95108
とコマンドを打ってみてください。
JTAGのIDCODEを取得してくれるはずなので、
CPLDのバージョンを確認してみてください。

Detected device 'XILINX,XC95108' (ID:09506093)
のような表示のあとにバージョンが表示されていないでしょうか?
(バージョンが0だと表示されません)

これまで、XC95108はバージョン0〜3まで見てきましたが、
詳しいことはわかりませんが、WRITE時に若干の差があるようです。

そういえば古いXC95108はiMPACTでサポートされなくなってしまいましたね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re^4: 演算増幅器。 コメント数:  2件
  たかゆき 2003/11/26 (水) 22:57
自分なりに更に考えました。
Vout=−A(Va−2Vb)なので(Aは定数)、
                   ――R―――
                   |       |
Va―――――R――――――――     |
                 |    AMP―――Vout
     ――2R――    |   ―
     |     |    |   |
Vb―R――    |    |  GND
       AMP――――
     ―
     |
    GND 
定数倍回路と加算回路をつなげた回路で、Vb側はRと2Rの抵抗を使います。
Va=Eマイナス−RIa
−Vb=Eプラス−2RIb
Vout=RI+Eマイナス
となり、理想オペアンプのモデルより、
Vout=A(Eプラス−Eマイナス)、A→∞
であり、上記の連立方程式を解くと、
Vout=−A{(R/R)Va+(2R/R)Vb} (Aは定数)
よって、
Vout=−A(Va−2Vb)
となる。
というふうに考えたのですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 CPLD書き込み コメント数:  3件
  CPLD初心者 2003/11/26 (水) 21:08
はじめまして、いつも参考にさせて頂いております。今回掲示板に質問したいことは、CPLDへの書き込みについてです。なひたふ様のNAXJPとダウンロードケーブル(こちらを参考に自作しました http://hdl.co.jp/pdf/xdl.pdf)、XC95108、ボードは ヒューマンデータ製 XSP001Bを使い書き込みしようとしました。NAXJPでERASEは出来るのですがwriteしようとするとTDO value is not equalと表示されます。また一時的に”・・・”と表示され書き込み中となり、そののち、先ほどと同じエラーとなります。 回路も何度も見直し、パラレルポートから出ているケーブルも出来る限り短くしたのですが出来ません。お忙しいとは思いますが私にご教授くださること願っています。では失礼致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re: トランスの異音 コメント数:  4件
  Nonta 2003/11/24 (月) 18:28
(1).コンバータは、SW f=200KHzであり 可聴周波数帯の発信元を持っていません
下記のどちらかでしょう.
・制御ループが可聴周波で発振している.
・メカの振動は電気振動と異なって,低調波を発生する.

(2).トランスの偏磁が原因との説がありますが、この偏磁が異音とどう結びつくのでしょうか?
偏磁が原因かどうかはともかく,磁束密度が高くなると
磁歪(電磁気の教科書参照)振動が起きます.

原因と防止策はこれに詳しいです.
http://www.powerint.com/PDFFiles/an24.pdf
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 トランスの異音 コメント数:  5件
  コンバータ  | cxc06033@tkg.att.ne.jp 2003/11/24 (月) 15:34
DC-DCコンバータのメイントランスから異音(うなり音)が聞こえます。
この音は、どういうメカニズムで鳴っているのでしょうか?
(1).コンバータは、SW f=200KHzであり 可聴周波数帯の発信元を持っていません
(2).トランスの偏磁が原因との説がありますが、この偏磁が異音とどう結びつくのでしょうか?

以上 アドバイス頂ければ幸いです。
よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^3: オペアンプについて。 コメント数:  0件
  Nonta 2003/11/23 (日) 18:21
> e(+)とe(−)の電位差が0なので
イヤー,トンでもなく古いシーラカンスのよーなセンセに教わってますね.
電圧をeと書くのは'60年代以前の習慣で,今はvです.
今はe=mc^2でわかるよーにエナジを表します.
電位差が0とゆーことは,A→∞で考えろとゆーことですね.
僕の書いた式でA→∞とすれば,
Vout={-V1/(sCR1+1) + V2*R2/(R1+R2)}(sCR1+1)/sCR1
=-V1/sCR1 + V2(sCR1+1)R2/{sCR1(R1+R2)}
ですね.
ここで,微分演算子sを微分記号に直せば,
Vout={-V1/(sCR1+1) + V2*R2/(R1+R2)}(sCR1+1)/sCR1

→vout=-(1/CR1)∫v1dt + [R2/{CR1(R1+R2)}]∫(CR1dv2/dt+v2)dt
となるけど,電気屋は,演算子専門でナマの微積分のよーな高級数学とは
無縁やから,ホントかどーか?
演算子使わないで,計算してみてね.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re^3: 演算増幅器。 コメント数:  3件
  Nonta 2003/11/23 (日) 17:42
> お勧めのサイトは英語ばかりで読めませんでした(笑)
あの資料は「だれでもわかる演算増幅器」とゆーやつで,僕も英語は
まったくだめですが,絵と式を眺めていれば,わかってしまいます.

OPアンプ1個で構成するには,Vout=-K(Va-2Vb)=-KVa+2KVb
と変形し,あの資料の3.5を使いVaとVbを分けて考えます.
V2=Va,R3=R,R4=KRとすると,式(3-11)より,Vout=-KVa
ここで,反転入力とグラウンド間に抵抗R5=Rを接続しても,
反転入力の信号Vaに対しては変化がありません.
非反転入力側の信号V1=Vbに対しては,R1とR2の分圧比をJとして,
Vout=J(1+2K)Vbとなります.
J(1+2K)=2Kとするには,J=2K/(1+2K)とすれば井伊わけですから,
R1=R,R2=(1+2K)Rですね.抵抗R5=Rを追加するのが初心者には
思いつかないと思いますが,勉強すれば思いつくようになります.
VaとVbを1つずつ考えるのは,「重畳の理」によります.

電気も英語も弱いけど,勉強してみたいんなら,この本が井伊でしょう.
http://www.amazon.co.jp/exec/obidos/ASIN/4896911636/qid=1069576337/sr=1-20/ref=sr_1_2_20
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^3: 演算増幅器。 コメント数:  0件
  軽石 2003/11/23 (日) 17:23
> 返事ありがとうございます。
> お勧めのサイトは英語ばかりで読めませんでした(笑)

読めない事を笑うとは情けない・・・・。(T_T)
NSは日本語が充実しているのでそちらで参考文献を見てみてはいかがでしょうか?
ここのメーカが提供してくれる日本語データシートは結構詳しく解説してくれているので結構お世話になりました。

> でも分かったようなきがします。

ではわかった範囲で簡単に説明してみてください。

勘違いしたり、間違えている点、理解しないといけない点などをNontaさんが補足してくれるかもしれません。(^^;「私の知っている範囲がありましたらフォローします」


ps

たかゆきさんととうにょさんが同一人物のような気がするのは私だけかな・・・・?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^2: オペアンプについて。 コメント数:  1件
  とうにょ 2003/11/23 (日) 16:27
返事遅くなりました。

Vout=(R2/R1+R2)V2−(1/CR1)∫V1dt

となり、e(+)とe(−)の電位差が0なので

(R2/R1+R2)V2=0

よって

Vout=−(1/CR1)∫V1dt

でよいのでしょうか?


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re^2: 演算増幅器。 コメント数:  5件
  たかゆき 2003/11/23 (日) 16:21
返事ありがとうございます。
お勧めのサイトは英語ばかりで読めませんでした(笑)
でも分かったようなきがします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re: 演算増幅器。 コメント数:  6件
  Nonta 2003/11/21 (金) 23:51
この前紹介したこれ読めばわかるでしょう.
「Op Amps For Everyone」
http://www-s.ti.com/sc/psheets/slod006b/slod006b.pdf

Vout=−K(Va−2Vb)を
Vout=K(2Vb−Va)と変形し
前スレの糖尿さんの回路で
非反転入力にVbを直接入れ,Vaを入れる反転入力側のR1はマンマ,
CをR1にすれば,出力は(2Vb−Va)になるから,後ろにK倍の
非反転増幅器を付ければOKでしょう.
OPアンプ1個で構成するには,上の文献読んでね.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 演算増幅器。 コメント数:  7件
  たかゆき 2003/11/21 (金) 21:15
助けてください。
 オペアンプを使った回路なんですが、
Vout=−K(Va−2Vb)
Vo=出力電圧、K=定数(増幅度ではありません)、VaとVb=入力電圧
という演算を実現する回路を設計し、回路図を示したいのです。それと、その時の抵抗値を式の変数を用いて示したいのですが、加算回路でもないしボルテージフォロワでもないし、私には分かりません。
教えてください。お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re: オペアンプについて。 コメント数:  2件
  Nonta 2003/11/20 (木) 23:05
こーゆー計算をするときは先ず帰還率を求めます.
β=sCR1/(sCR1+1)
で,ブロック図を書けば,
Vout={-V1/(sCR1+1) + V2*R2/(R1+R2)}*A/(1+Aβ)
となりますから,これを整理していけばいいわけです.
注意すべき点は,OPアンプのゲインAはsの関数だとゆーことです.

差動回路とゆーのは,同相抑圧比(CMRR)が大きくないと
逝けませんから,差動回路ではありません.
V1に対しては積分回路ですが,V2に対しては違います.
名称をあえて付ければ,「ヘンな回路」でしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 オペアンプについて。 コメント数:  3件
  とうにょ 2003/11/20 (木) 21:51
はじめまして。
       ―――――‖――――
       |    C   |
V1――R1―――       |
         OPアンプ――――Vout
V2――R1―――
       |
       |
      R2
       |
      GND
これの入出力関係を教えていただきたいのですが。
Vout= の式です。
あと、この回路は差動回路+積分回路という名前で良いのでしょうか?他に名称があるのでしょうか?
ぜひともよろしくお願いいたします。      
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re^3: アナログ回路について コメント数:  0件
  Nonta 2003/11/20 (木) 18:44
紹介した資料の中で,3−4.加算器(要非反転に変換)か
3−5.差動増幅器を使えば,計算できるでしょう..

> マイコンはH8を使っています。
CMOS出力を使えば,0V〜電源電圧まで振れますから,
22kΩ以上の抵抗をつなげば大丈夫でしょう.
ところで,肝心な電源電圧は?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 Re^2: アナログ回路について コメント数:  1件
  SA 2003/11/20 (木) 18:25
返事、ありがとうございます。
教えてもらった資料も役に立ちそうです。

あと、OPで増幅するのは分かるのですが、-12Vを作るのがいまいち
分からないんです。
マイコンはH8を使っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 Re: アナログ回路について コメント数:  2件
  Nonta 2003/11/20 (木) 17:50
4mA〜20mAとゆーとカレントループですね.

> マイコンから出力した電圧をどうやって
> -12V〜+12Vの出力にさせればよいか、..
増幅させれば井伊んですよ.

マイコン出力は何Vppでしょうか?
コレをVマイコンとすると,V出力は24Vppですから,
ゲインGは
G=24Vpp ÷(Vマイコン)
となります.G倍のアンプを作ればOKです.
マイコン出力の中心値V中心とV出力の中心値0Vから,
必要な入力オフセット電圧Vオフセットは
Vオフセット=−(V中心)
となりますから,サミングポイントに注入します.
詳しくはコレを読んでください.
「Op Amps For Everyone」
http://www-s.ti.com/sc/psheets/slod006b/slod006b.pdf
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 アナログ回路について コメント数:  3件
  SA 2003/11/20 (木) 16:48
初めまして。SAといいます。
基本的な質問だと思いますが宜しくお願いします。

今回、4mA〜20mAの電流入力に対して、-12V〜+12Vの出力を得られる
マイコンの回路を製作しています。
入力部の回路とマイコンの処理は大丈夫なのですが、マイコンから出力した電圧を
をどうやって-12V〜+12Vの出力にさせればよいか、いまいち分からず悩んでいます。

何かよいアドバイスをお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^3: MOSFET使用について コメント数:  0件
  通り掛かり 2003/11/18 (火) 09:29
こんにちは

追加です。

> MOSFETの破壊要因として,SOA,di/dt,dv/dtが上げられますが,数100Ω以上のゲート直列抵抗を入れると,dv/dt破壊耐量が大きくなります.
> 古くて弱いMOSFETを使うときは,数100Ω以上のゲート直列抵抗を入れるのも手です.

破壊防止のほかに、ONOFF立ち上がり立下りスピードの調整の
目的があります。
遅いとスイッチングロスが増えますし、速過ぎると高調波ノイズ
を撒き散らすことになります。
ちょうどいい値があるわけです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re: 訂正 コメント数:  0件
  せき 2003/11/18 (火) 00:17
> 書き込みマージンをギリギリまで削っているところと、Vccio=3.3V時に
> 2.5Vインターフェースをサポートできるかどうかの違いだけのようです。

 丁寧な回答ありがとうございました。安心しました。
 MAX3000AのVccio=3.3V時の2.5Vインターフェースの件、気になったので、
データシートを調べたところ、3.3Vトレラントのある2.5Vデバイスの場合と
書かれていました。(物は言いようだなあという感じです。)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re^3: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  0件
  軽石 2003/11/17 (月) 22:34
> FPGA/PLD設計スタートアップ(Design Wave mook)という本を買ったんですが、
> 難しくて、自分にはまだ早いなぁという感じでした。

上記の本はある程度わかっている事が前提かもしれません。
以前書きましたが初心者にお勧めはデザインウエーブの2000年12月号あたりがわかりやすいと思います。
(7segLEDのデコーダーの回路が参考回路としてのっていますし、VHDLの書式についての説明を簡単に解説(参考記述もあり)しています。)

論理回路はタイムチャートと順序回路又はシーケンス制御が判らないとつらいと思います。

最初はANDとOR、NOTの非同期回路で作って、その後同期回路設計ができればとりあえず
OKでしょう。

周りに聞く人がいないと大変かもしれませんがそのあたりはWEBを使って勉強してください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re^2: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  1件
  まーたつ  | ito@em4-si.eng.hokudai.ac.jp 2003/11/17 (月) 20:58
なひたふ様
軽石様
たけき様
備州長船様:

新参者の私などに貴重なご助言を賜り、誠に有難うございました。

とりあえず、本・データシートやいろんなサイトとにらめっこしながら
やってみます。
MAX7256等が付録で付いていたというDesign Wave(02年?の1月号)
、CQ出版社では在庫切れということで、残念でした・・・
FPGA/PLD設計スタートアップ(Design Wave mook)という本を買ったんですが、
難しくて、自分にはまだ早いなぁという感じでした。

テンパったら、strawberry-xilinxのライタキットは持っているので、xilinxのPLDボードも購入しようかと思います。(ALTERAを持ってる意味がないんですが)

何かしら動いてくれないと、さみしいので・・・

またご質問させてください。
では、失礼致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^3: Altera製CPLDの購入 コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2003/11/17 (月) 18:41
> ちなみに接続相手はゲームセンターにあるゲーム基板です。
> それを家庭用ゲーム機のコントローラで操作できる回路を作りました。

ちなみにその基板はクロックアップしてありますか?
中古の液晶を付けていますか?

……ごめんなさい。ただの茶々です。
トラ技というよりバッ活のニオイがしたもので……。 ^-^;;;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 訂正 コメント数:  1件
  備州長船 2003/11/17 (月) 16:14
> MAX3000AシリーズはI/O電源として5Vが使えない(信号入力は5Vトレラント)

MAX7000Sシリーズと混同していました、MAX7000AEシリーズもI/O電源として5Vは使えません。

書き込みマージンをギリギリまで削っているところと、Vccio=3.3V時に
2.5Vインターフェースをサポートできるかどうかの違いだけのようです。
あと、MAX3000AはMAX7000AEよりも同一パッケージでI/O数が数本少なく
なっているので、再設計が必要となる場合があります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^3: MOSFET使用について−浮遊容量の影響が コメント数:  0件
  とおりすがり 2003/11/17 (月) 11:58
> MOSFETの負荷インダクタンス,リードインダクタンス,MOSFET内部容量に浮遊容
っていうよりSW電源用や低周波用のMOSFET内部容量−−ゲート容量は数百pF
あります。瞬間に0−−→5VにCを充電するには数Aながれることもあり
逆に瞬間5V→0Vに引き抜くにくのも同様です。MOSFETの使い方では
ゲートドライブ回路をどうするかがきもではないでしょうか。

直列抵抗はもちろんインピーダンス整合用ダンピング抵抗の意味もあると
思いますがやはり出力ポートの保護の目的の方がおおきいように思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^2: 3000Aと7000AE コメント数:  2件
  備州長船 2003/11/17 (月) 00:07
> どの部分が違うのでしょうか?
>  実は、MAX7000AEの置換えで、MAX3000Aを使おうとしているので、
> 気にかかります。

TTLインターフェースレベルではほとんど同じと考えて差し支えないと思います。
ただ、MAX3000AシリーズはI/O電源として5Vが使えない(信号入力は5Vトレラント)ので
5V-CMOSデバイスを駆動するには外部にプルアップ抵抗を付けないといけません。
注意点はそこだけです。

最近の量産設計ではLVTTLがメインなので、ほとんど気にしなくてもいいのですが、
個人の実験用とかでは5V-CMOSデバイス(4000シリーズ等)もよく使うかな?
と思いましたので助言させて頂きました。
参考になれば幸いです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re^2: Altera製CPLDの購入 コメント数:  1件
  fujikko   | fujikko@nn.iij4u.or.jp 2003/11/16 (日) 18:17
レスどうもです。

> なんにしても、ある程度品種を絞ったら、取り寄せ可能か、個数は、価格は、
> など問い合わせてみるといいと思います。

そうですね。回路の完成度を上げて、どのくらいのゲート規模で
実装可能か見積もって発注してみようと思います。

ちなみに接続相手はゲームセンターにあるゲーム基板です。
それを家庭用ゲーム機のコントローラで操作できる回路を作りました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re: 3000Aと7000AE コメント数:  3件
  せき 2003/11/16 (日) 04:53
> ほとんど同じスペックなのですが、MAX3000AはMAX7000AEと違って5V出力が
> できませんし

MAX3000AとMAX7000AEとの入出力レベルのスペックは、
 VIH=1.7〜5.75V
 VIL=-0.5〜0.8V
 VOH=2.4V以上(TTL負荷条件 VCCIO=3.0V)
 VOL=0.4(0.45)V以下(TTL負荷条件 VCCIO=3.0V)

と、VOLの値が若干異なる以外は、ほぼ、同じだと思っていいるのですが、
どの部分が違うのでしょうか?
 実は、MAX7000AEの置換えで、MAX3000Aを使おうとしているので、
気にかかります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 3000Aと7000AE コメント数:  4件
  備州長船 2003/11/16 (日) 00:28
> ところで、3000Aシリーズなんてのはどうなんでしょう。PLCCパッケージが
> ないようですが、7000AEとだいたい同じスペックのようです。価格も少し
> 安めだったと思います。

ほとんど同じスペックなのですが、MAX3000AはMAX7000AEと違って5V出力が
できませんし、書き換え回数のマージンがかなり少ないので個人用途には
おすすめしません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re^3: MOSFET使用について コメント数:  0件
  鈴風 2003/11/15 (土) 22:30
こんばんは、Nontaさん。
詳しくご説明頂いきまして、ありがとうございます。
今の私では参考書を読んでもなかなか意味が読み取れないことが多々あります。参考書と併せて、実際に部品を使いながら学んでいこうと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re^2: MOSFET使用について コメント数:  0件
  鈴風 2003/11/15 (土) 22:22
こんばんは。
池畑さん、どうもありがとうございました。

> これはゲート抵抗と言います。
> http://www.necel.com/japanese/info/contact/sth/faq/fet-1.html
> など参考に。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re^2: MOSFET使用について コメント数:  3件
  Nonta 2003/11/15 (土) 22:08
>> これはゲート抵抗と言います。
一般に**抵抗とゆーのは**電極内部の抵抗じゃないでしょーか?
ゲート「直列」抵抗とゆーほうがわかりやすいと思います.
CPU内部出力抵抗(?10Ω)とゲート「内部」抵抗(?10Ω)があるのになぜ必要なのかとゆーと,浮遊容量の影響が大きいんです.
MOSFETの負荷インダクタンス,リードインダクタンス,MOSFET内部容量に浮遊容量が入って,わけのわからん多段共振回路を形成し,波形の立ち上がり立ち下がり部分で振動します.
で,想定される寄生リアクタンスに抵抗を入れてQを下げ,振動させないようにします.
抵抗の値は,寄生リアクタンスが実装方法に依るため,一概には言えません.
ハイブリッドIC等の寄生リアクタンスがほとんど無い実装では,無いものあります.
MOSFETの破壊要因として,SOA,di/dt,dv/dtが上げられますが,数100Ω以上のゲート直列抵抗を入れると,dv/dt破壊耐量が大きくなります.
古くて弱いMOSFETを使うときは,数100Ω以上のゲート直列抵抗を入れるのも手です.
これの「第4章 ゲート・ドライブ回路設計の基礎」を見て下さい.
http://www.cqpub.co.jp/hanbai/books/36/36011.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re: Altera製CPLDの購入 コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2003/11/15 (土) 19:33
> EPM7256Aの出力電圧の件、一応74シリーズのICでバッファリング
> させることで期待通りの動作をさせることができました。

つながる相手は何だったんだろう……チョト興味が。

> 10月号も在庫が怪しい状況でした。

これは5Vに直結できないので、使いにくぅ、と言ってましたよね。どなたか。

> そこで普通にMAX7000AEシリーズのチップを入手しようと思っている
> のですが、個人が数個単位で通販で入手できるところはないでしょうか?
> 一応「MAX7000AE 販売」などでgoogleってみたのですが、

トラ技の広告はチェックされました?
あと、ダメ元でも近所のパーツ屋で発注してみるとか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re: Altera製CPLDの購入 コメント数:  7件
  K-ichi   | k-ichi@mv.0038.net 2003/11/15 (土) 19:33
共立電子や若松通商には、アルテラのデバイスが置いてあるようです。
希望のグレード、品種があるかどうかは、だいぶ怪しいですが。
エリスショップやヒューマンデータにも置いてますね。
品揃えは……まぁ、それなりに。

実は一年ぐらい前に同様に探していまして、あちこち問い合わせたところでは
トリプルワンが小回りが利きそうな印象を持ちました。
当時は、送料込み5個単位の扱いでした。
http://www.tripleone.net/

なんにしても、ある程度品種を絞ったら、取り寄せ可能か、個数は、価格は、
など問い合わせてみるといいと思います。


ところで、3000Aシリーズなんてのはどうなんでしょう。PLCCパッケージが
ないようですが、7000AEとだいたい同じスペックのようです。価格も少し
安めだったと思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re: MOSFET使用について コメント数:  5件
  池畑  | ike@mail.spacelan.ne.jp 2003/11/15 (土) 19:04
> CPUでMOSFETをドライブする時に、CPUとFETの間、つまりFETのゲートに直列に抵抗が挿入されていることがありますが、何の目的があるのでしょうか?

これはゲート抵抗と言います。
http://www.necel.com/japanese/info/contact/sth/faq/fet-1.html
など参考に。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 MOSFET使用について コメント数:  6件
  鈴風 2003/11/15 (土) 18:50
はじめまして。
鈴風ともうします。

かなり基本的な質問になってしまうため恐縮なのですが、
CPUでMOSFETをドライブする時に、CPUとFETの間、つまりFETのゲートに直列に抵抗が挿入されていることがありますが、何の目的があるのでしょうか?
MOSFETは高入力インピーダンスのため、抵抗で制限しなくてもほとんど電流は流れないはずです。ということは、ゲート電流制限用ではない…。
一体どのように考えればよいのでしょう?

基本的過ぎて答えられないという方もいらっしゃるかと思いますが、考え方だけでも結構ですのでお教え頂けませんでしょうか。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Altera製CPLDの購入 コメント数:  9件
  fujikko   | fujikko@nn.iij4u.or.jp 2003/11/15 (土) 08:16
どうも、こんにちは。
EPM7256Aの出力電圧の件、一応74シリーズのICでバッファリングさせることで期待通りの動作をさせることができました。ダイレクトでもいけそうな気はしたのですが。

これで味をしめたので、他にも回路を作ってみようと思ったのですが、当然のようにDisignWave誌の2003年1月号は売り切れで、10月号も在庫が怪しい状況でした。

そこで普通にMAX7000AEシリーズのチップを入手しようと思っているのですが、個人が数個単位で通販で入手できるところはないでしょうか?一応「MAX7000AE 販売」などでgoogleってみたのですが、チップを組み込んだ完成品を売っているところしか見つけきれませんでした。

こことかを見ているとXilinxに乗り換えたほうがよさそうな気もしますが、すでにByteBlasterMVを買ってしまったので、少しは有効活用したいと考えてます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 Re: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  2件
  備州長船 2003/11/15 (土) 06:16
ボードそのものについては詳しいレスが付いているので、デバイス自体に関する注意だけ‥‥。

> ALTERA MAX7128
> ALTERA FLEX10k10

MAX7000ですが、MAX7000S以降のシリーズ(S,A,AE)でないとJTAG書き込みに対応していません。
最近購入したものなら大丈夫だと思いますが念のため。
電源電圧はMAX7000Sは5V、MAX7000A/AEは3.3Vが必要です。

FLEX10Kシリーズも、FLEX10K10(無印)は5V、10K10Aは3.3V、10K10Eだと2.5Vの電源が必要になります。

その他、詳しいピン配置や処理の仕方等はALTERAのサイトから無料で入手できる
データシートやアプリケーションノーツ等に全て記載してありますので
そこらへんを参照すると良いでしょう。


> LATTICE GALシリーズ(これは失敗なんでしょうか?)

失敗のような気が‥‥。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  0件
  たけき  | takeki@ee.tokushima-u.ac.jp 2003/11/15 (土) 01:05
はじめまして.普段ROMの者です.
私も丁度学生で,ALTERAで学習ボード作ったのでしゃしゃり出てきました.
既に常連さまから分かりやすいresがついていますので,私のは話程度にでも.

私はDesignWave誌の付録についていたMAX7256A(ピッチ変換基板付き)に
ピンヘッダをつけて,別の15cm*15cmぐらいのサイズの基板の真中にのせて,
その基板に7segLED,オシレータ,DipSW(pullUP付),タクトSW(チャタ対策付)
三端子レギュレータなどを載せ配線しました.

未使用ピンはピンヘッダに引っ張り出しました.

結局,ただのタイマーに成り下がっていますが(笑).

作ってみた感想としては,繋がってれば動きます.
私の場合ははんだ付け失敗して繋がってませんでした.(初歩ミス)

論文をとっとと仕上げてFLEXのボードを早く作りたいところです.
それでは,がんばってくださいませ.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  0件
  軽石 2003/11/14 (金) 09:59
まーたつさんこんにちは

> LATTICE GALシリーズ(これは失敗なんでしょうか?)

失敗かも?

> ここをPLDボードにつながなければもちろん動かないですが、PLDボードの作り方など、どんな書籍を見ても載っていません・・・

電子工作入門の本を読めば大丈夫!

もっと目を皿のように探しましょう。
CQ出版社からたくさん出版されています。
トラ技や、デザインウェーブ、特集号などで回路図が添付紹介されています。
デザインウェーブではPLDボードが添付された雑誌も販売されていますし、
開発キットも販売されています。
(1万円以下で購入可)

>全く見通しが立たないのが現状です。

がんばれ!

> どなたか、自作で開発や評価ができるような情報を持っていらっしゃいませんでしょうか。書籍でもホームページなどでも、どのような形でも構いませんので、教えていただければ幸いです。

落合さんのWebでxilinx用の簡単なキットが売られています(数千円)のですべてがそろいます

http://strawberry-linux.com/

あと、いろいろなサイトをリンクで追っていきましょう。
検索で見つからないのはリンクを伝って芋づる式にさがしましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re: FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  0件
  なひたふ 2003/11/14 (金) 04:58
はじめまして

PLDといっても、電源さえしっかりつながっていればちゃんと動きます。
難しく考えずに、基板の上にPLDが乗っけて電源をつなげばよいわけです。

ただし、FPGAを使うならば、別途コンフィグROMが必要ですし、
CPLDを使うならば、コンフィグROMは不要です。
お手持ちの石がどちらに該当するかは調べてください。

PLDを既にお持ちであれば、サンハヤトから出ているピッチ変換
基板の上に乗せて、万能基板に乗せるのが安上がりでしょう。

ピッチ変換基板を使うと、CPLD/FPGAのピンがどこにでてくるか
わからなくなるのが、最大の難所だと思われます。

なお、電源は太く、短くが基本です。
銅箔テープや0.8〜1.0mmφのスズめっき線をつかって、
インピーダンスの低い配線を心がけましょう。

電源の配線が済めば回路は50%完成と思っていいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 FPGAのPLDボードの作り方、教えていただけませんでしょうか・・・ コメント数:  6件
  まーたつ  | ito@em4-si.eng.hokudai.ac.jp 2003/11/14 (金) 02:16
はじめまして、初めて投稿させて頂きます。
私は学生で、これからFPGAの勉強をしたいと考えている者です。
自費で開発・評価ボードを購入するのはかなりキツイので、自作でなんとかしたいなあ、という甘い考えで今はVHDL等の勉強をしています。
とりあえず動かせる環境を作ろうと考え、集めれるだけ集めましたが、今手元にあるのが
ALTERA MAX7128
ALTERA FLEX10k10
LATTICE GALシリーズ(これは失敗なんでしょうか?)
の3つと、XILINX・ALTERAに対応したライタキット(組立済)しかありません。
ライタキットからはALTERA用の10ピン(JTAG Mode?とPS Mode?)が出ています。
ここをPLDボードにつながなければもちろん動かないですが、PLDボードの作り方など、どんな書籍を見ても載っていません・・・
ネットで評価ボードの回路図などを参考にしてがんばろうと思ってはいますが、全く見通しが立たないのが現状です。
どなたか、自作で開発や評価ができるような情報を持っていらっしゃいませんでしょうか。書籍でもホームページなどでも、どのような形でも構いませんので、教えていただければ幸いです。
新参者で恐縮ではありますが、宜しくお願い致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^4: naxjpでの書き込み コメント数:  0件
  丸猫 2003/11/14 (金) 00:28
こんばんは、丸猫です。

> 配線をした結果、電源が足りなくなったということはありませんか?
PLD+I/OにLED4つですので電源が足りなくなってはいないと思います。

> CPLDの出力がJTAG信号に入ってしまうことがあります。
> そのようなケースには該当していないでしょうか?
これも無いと思います。
今回の回路は、デバックしやすいようにするために、すべての部品(LEDを除く)をソケットを介して接続しているので、PLDへの書き込みのときは外して書き込みをしています。
(外してもつけていても書き込めませんでした)

> > つまり待ち時間が足りていないということですね。
>
> プログラミング失敗したら、待ち時間を1.5〜2倍にして
> 再度チャレンジするような構造にすればよいというのは
> わかっているのですが、なかなかそこまで対応できなくて。。。
わかります、なかなか面倒ですよね。

> JTAGのバウンダリスキャンは対応していますが、
> 書き込みはまだです。これも急いで作ります。
> 来週中にはできるようになると思います。
期待しています。できる限りデバッグのお手伝いはさせていただくつもりです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re: AUTOFXINITがLOWになりません コメント数:  0件
  なひたふ 2003/11/13 (木) 18:15
貴重なバグレポートありがとうございます。


おそらく、
> PULLDOWN !AUTOFXINIT
が正解だと思うのですが、ちょっと動作確認してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^3: naxjpでの書き込み コメント数:  1件
  なひたふ 2003/11/13 (木) 18:14
> I/Oにすべて配線をしてからは書き込めなくなっていました。
配線をした結果、電源が足りなくなったということはありませんか?

あと、私もよくやってしまうパターンなのですが、
CPLDの出力がJTAG信号に入ってしまうことがあります。

たとえば、パラレルポートとCPLDをつないだ状態で、
パラレルポート経由でJTAGコンフィグしようとすると、
CPLDの出力とPCからの出力が衝突して
うまくいかなくなることがあります。
そのようなケースには該当していないでしょうか?

なお、そういうとき、CPLDとの接続を一時的に外したりして解決します。


> つまり待ち時間が足りていないということですね。

プログラミング失敗したら、待ち時間を1.5〜2倍にして
再度チャレンジするような構造にすればよいというのは
わかっているのですが、なかなかそこまで対応できなくて。。。

> MitouJTAGにXC9800XLシリーズは対応していなかったのですか。
> ソフトのディバイスの一覧に表示されていたのでてっきり対応されていたのかと思っていました。

JTAGのバウンダリスキャンは対応していますが、
書き込みはまだです。これも急いで作ります。
来週中にはできるようになると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^5: すばらしいですね コメント数:  0件
  なひたふ 2003/11/13 (木) 18:06
> この操作はやっていたのですが、出てきませんでした。
> devindex.txt の中を調べてみます。

申し訳ございません、ちょっと勘違いしていました。
データシートを読んだところの判断では、
7750はJTAGのIDCODEを持たないので、
他のデバイスとの区別ができません。

そのため、他のIDCODEを持たないデバイスと一緒に出てくるか、
全く出てこないと思います。
(7750は純粋なJTAG規格からはずれているため、チェーンに
接続されているかどうかの判断がさらに難しいかもしれません)

「全く出てこない」という状況を改善し、
IDCODEのないデバイスが全て表示されるように改良いたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re: IV変換回路について コメント数:  0件
  なひたふ 2003/11/13 (木) 18:00
こんにちは

> 大学の研究室でIV変換ってのを使うんですが、
> まったくの初心者で困ってます。
> 誰か教えてください

さて、どのような理由で困っているのでしょうか?
単に困っているだけでは、教えようがありません。
例えば、次の例のように具体的に書くようにしましょう。

1.IV変換回路とは何か意味がわからない
2.作り方が分からない
3.使い方が分からない
4.ノイズ対策や精度の向上の方法がわからない

また、信号を扱う対象は何ですか?

1.微弱な信号のもの(センサの出力とか)
2.高速な信号のもの(放射線検出とか)
3.高速で微弱なもの
4.ノイズに埋もれているもの
5.強力なパワーのもの(電力)
6.それほどでもないもの
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 IV変換回路について コメント数:  1件
  TK   | qu001204@cc.tmit.ac.jp 2003/11/13 (木) 16:01
初めて投稿します。
大学の研究室でIV変換ってのを使うんですが、
まったくの初心者で困ってます。
誰か教えてください
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^4: すばらしいですね コメント数:  1件
  せき 2003/11/12 (水) 23:54
> FileメニューのCreate Device Indexを実行すると、
> ハードディスクの中にある全BSDLファイルをスキャンして、
> インデックスを作ります。

この操作はやっていたのですが、出てきませんでした。
devindex.txt の中を調べてみます。

> ところで、7750のBSDLファイルは、ルネサスのWeb上にありますでしょうか?
> ずいぶん探したのですが、見当たらなくて・・。

Webには無いようです。ルネサスの営業から入手しました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 AUTOFXINITがLOWになりません コメント数:  1件
  せき 2003/11/12 (水) 23:42
本日、ByteBlasterMVとその互換品の動作確認を行いましたが、だめでした。
(WIN98、XPとも。)

ports.txtのコメントをもとに、

PULLDOWN AUTOFXINIT
PULLDOWN !AUTOFXINIT
PULLDOWN 14

など、色々な記述を試して見たのですが、#14ピンは、ずっとHのままでした。

他のピンはどうかと試してみたところ、
#4ピン(D2)は、PULLDOWN 、PULLUP ともに動作しましたが、
#16ピンもPULLDOWNが利きませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^2: naxjpでの書き込み コメント数:  2件
  丸猫 2003/11/12 (水) 23:06
ご返答、ありがとうございます。丸猫です。

そのとおりです。
I/Oに何も配線ときは正常に書き込めていたのですが、
I/Oにすべて配線をしてからは書き込めなくなっていました。

いままでiMPACTを使ったことがありませんでした(^^;
使おうと思ったことはあったのですが、操作が分からず諦めていました。
再度、今回挑戦したところ、動かすことができました。
プログラム、ベリファイと一通り操作したしましたが、動いているようです。
デバイスは壊れていないみたいですね。
つまり待ち時間が足りていないということですね。

> もしかするとデバイスの中の何かが変化して、より多くの時間を
> 必要とするようになってしまったのかもしれません。
なるほど、そういったものなのですね。理解しました。
なんで配線しただけでそうなってしまったのでしょうね(^^;

MitouJTAGにXC9800XLシリーズは対応していなかったのですか。
ソフトのディバイスの一覧に表示されていたのでてっきり対応されていたのかと思っていました。
是非対応を期待しています。

何とか問題が解決しCPLDが動作に漕ぎ着けました。
ご返答いただきありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.