なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: 波形の間隔を調べるには? コメント数:  14件
  タンタン 2003/04/03 (木) 15:08
> 帯域幅10MHz程度の汎用OPアンプで作ると,数十kHz程度がせいぜいです.
> これから考えると,目的の用途には帯域幅1GHz以上のOPアンプが必要ですね.

10Mbpsと言っても、パルス幅がいくらかで必要な帯域は違ってきますね。
極端な話、パルス幅が1pSecだったら、1GHzのアンプでも足りないでしょう。

もう少し詳しい条件が必要ですよ。
信号の波形は、どんな形なのか、毎回同じなのか、
ひとつのパルスの中のピーク値が欲しいのか、
複数のパルスの中の一番大きなパルスのピークが欲しいのか
等などで必要な回路が異なります。

> で,普通はどうするかというと,サンプルホールドを使います.
> ピーク値のタイミングが検出できれば可能です.

AD変換→デジタルのピークホールド→DA変換とする方法もあります。
10MHzのデジタル回路は、簡単でしょう。
場合にもよりますが、DA変換は10MHzより遅くても大丈夫です。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re: ピークホールド コメント数:  15件
  Nonta 2003/04/03 (木) 12:07
高速ピークホールド回路は,ものすごく難しいので,
安藤さんの書かれたように,オシロを使うのが良いと思います.

帯域幅10MHz程度の汎用OPアンプで作ると,数十kHz程度がせいぜいです.
これから考えると,目的の用途には帯域幅1GHz以上のOPアンプが必要ですね.
で,普通はどうするかというと,サンプルホールドを使います.
ピーク値のタイミングが検出できれば可能です.
サンプリングの技術は数十年前から,数GHz以上のサンプリング・スコープに
使われていた古いこなれた技術ですから,昔の文献を捜してみると良いでしょう.

高速コンパレータを使うてもあります;「AD8561」
http://www.analog.com/UploadedFiles/Datasheets/764437034AD8561_0.pdf
これに,ショットキ・ダイオードを組み合わせて作りますが,
10MBPSのパルスに応答できるかどうかは,腕次第ですし,
このICが使えるかどうかもわかりません.

と言うわけで,デジタルオシロスコープを使うのが一等簡単です.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 ピークホールド コメント数:  16件
  安藤  | dkadn007@kyoto.zaq.ne.jp 2003/04/03 (木) 09:54
OPアンプの帯域)

どれくらいの精度が必要かによりますが、ごく荒い測定をするとして・・・
単純に入力信号をバッファリングする部分でも、10MBPSのNRZ(よく知ら
ない)信号だと、繰返し周期200nsec。周波数で考えると、5MHzの周波数
成分と、最低限それが矩形波風に見えるためには、5MHzの3〜5倍以上の
周波数成分まで、位相回転なしに増幅できる必要があり、コンデンサの選
定や部品配置、配線のやり方など、それなりのノウハウがいります。
アンプの方も、利得帯域幅が50MHz以上必要ということになりますが、こ
れはごろごろあるので、入手に苦労することはないと思います。

信号源で何とかできないか?)

BPSと書かれているので、通信関係の信号だと思われますが、送り手の方
でデューティー50%の繰り返し信号を送ることが可能なら、ピークホー
ルドなどしなくて、単にダイオードで検波して直流レベルを見る(校正
表はいるけど)のは?

オシロを使う)

測定器を1台だけ、しかも短期間だけ使うのならば、どうせ作るときにオ
シロは必須なので、そのオシロで観測して、何も作ったりしない。一番
楽(笑)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 ピークホールド回路に最適なOPAMPとダイオードは? コメント数:  17件
  なな 2003/04/03 (木) 01:18
10Mbpsの0-5Vまでの信号のレベルを計るためにピークホールド回路を作りたいのですが、
OPAMPとダイオードをどういった特性を重要視して選んでよいか話かりません。
OPAMPは10MHzまで帯域をあるモノを選べばよいと思いますが、データシートをみても
なかなか入出力0〜5Vのモノが見つからなくて
ダイオードに至っては何を使えばよいのかちんぷんかんぷんです。
何か良いアドバイスいただけませんでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re^4: ADコンバータ コメント数:  2件
  Salt 2003/04/03 (木) 00:41
 http://homepage1.nifty.com/salt/
くりさん、こんにちわ。

>  もし、会社や大学等の組織にご所属でしたら、そこの名前で、
> アナログデバイスやMAXIMあたりに無償サンプルを請求するのも
> 手かもしれません。

そっかぁ、そんな手がありましたか。
DMとかちょっと気になりますが。。。

ありがとうございます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 Re^4: ADコンバータ コメント数:  0件
  Salt 2003/04/03 (木) 00:37
 http://homepage1.nifty.com/salt/
どうもですぅ。

千石にも売っていたのですね。
20MHz なら結構あるのですね。

もっと探してから質問するようにしますぅ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^4: ADコンバータ コメント数:  0件
  Salt 2003/04/03 (木) 00:36
 http://homepage1.nifty.com/salt/
> RSコンポーネントだったら通販で購入できますよ!
> 最近は秋葉原へ行く時間と交通費を考えると通販のほうが
> やすかったりします。

ほんとですね。
ホームページ見から普通に買えるのですね。
しかも今なら送料無料。


> > 秋月とか見ても、出てこなくて。。。
>
> 通販カタログにありますけど・・・・・?

ありゃ?
PIC とか、AVR とかしかひかからなかったです。
もいちどさがしてみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re^4: ADコンバータ コメント数:  0件
  Salt 2003/04/03 (木) 00:34
 http://homepage1.nifty.com/salt/
どうも、ありがとうございます。
若松にもあったのですね。なんかもっと探してから質問すればよかったです。(^^;

> 高速のAD回路では、グランドをしっかりしないといけないので、
> 手作りする場合は、普通のユニバーサル基板ではなく、
> 片面ベタの基板にするとか、銅箔テープをベタベタ張るのが
> 良いです。

昔、テレビのブースター(だったかな?)を作ったときは、
片面銅のところに、基板の断片を貼り付けて、その上にFETとか乗せた気がします。
そんな感じなのでしょうね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^3: ADコンバータ コメント数:  1件
  ぽりたん 2003/04/02 (水) 22:19
> 質問を変えて、秋葉で数百円で手に入る ADC って
> どのぐらいの性能でしょうか?

千石電商で売ってる東芝のTC35071Fは570円で8bit、20MS/sです。
ただ、これもビデオ用なので、20Msps時のアナログ入力帯域がTyp.5MHz(-1dB)とあります。

今もまだ売っているかどうか知りませんが、ラジオデパート2Fのサンエレクトロでも
8bit、20MS/sクラスのMB40568PやMB40578Pを売っていました。
数年前買った時に千数百円したようなおぼえがありますけど・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re^3: ADコンバータ コメント数:  3件
  くり 2003/04/02 (水) 14:45
 Saltさん、こんにちは。

>いや、モロ、お遊び用、というか勉強用に欲しかったのですよね。
>ちょっと高性能なのを欲しがってたのが間違ってますね。(^^;
>普通に秋葉で数百円で手に入るADCと質問すればよかったです。(^^;;
>もし、ご存知でしたら、場所と値段を。。。と、あまえてみたりして。

 もし、会社や大学等の組織にご所属でしたら、そこの名前で、アナログデバイスやMAXIMあたりに無償サンプルを請求するのも手かもしれません。3個までとか、高いのはダメとか、制限はありますが、手に入る場合は、そんなに安易に配って良いんだろうかと思うほどアッサリと送ってきますし^^)。会社の名前を使っても、社員が業務に関連することで勉強しようと言うのであれば、まあ、そんなに「ギャアギャア」上から言われることもないでしょうし…。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^3: ADコンバータ コメント数:  1件
  軽石 2003/04/02 (水) 10:27
> > MB40C568PF-G-BND \570 8bit 20MHz
> これが欲しい〜
> と思ったけど、秋葉じゃ手に入らないのですか、、、
> 残念です。

なんで残念なのでしょうか?
RSコンポーネントだったら通販で購入できますよ!
最近は秋葉原へ行く時間と交通費を考えると通販のほうが
やすかったりします。

>
> 質問を変えて、秋葉で数百円で手に入る ADC って
> どのぐらいの性能でしょうか?
> 秋月とか見ても、出てこなくて。。。

通販カタログにありますけど・・・・・?
クロックサイクルが2μsとあったので500KHzかな・・・・?
(スペックをしっかり見ていないのが勘違いしているかも?(^^;)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re^3: ADコンバータ コメント数:  1件
  タンタン 2003/04/02 (水) 07:41
> 質問を変えて、秋葉で数百円で手に入る ADC って
> どのぐらいの性能でしょうか?
> 秋月とか見ても、出てこなくて。。。
>
> 今度、秋葉に行っていようかな〜

若松通商で、uPC659AGS(VIDEO A/D 8bit 20Msps)が
3個¥2000で売っています。
http://www.wakamatsu.co.jp/2f/vidaud.htm

高速のAD回路では、グランドをしっかりしないといけないので、
手作りする場合は、普通のユニバーサル基板ではなく、
片面ベタの基板にするとか、銅箔テープをベタベタ張るのが
良いです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^2: ADコンバータ コメント数:  4件
  Salt 2003/04/02 (水) 00:19
 http://homepage1.nifty.com/salt/
こんにちわ。
レス、ありがとうございます。

> ADCの前のアナログ回路の方が厄介になると思います。下手をするとこっちの方
> に数千円掛かるかも?

そうなのですか。
ど素人なので、あまり気にしてませんでした。(^^;;


>  基本的には、そのお考えでOKです。(ロジアナではアナログ波形というか、
> 波形が数値変換されたものが見えると思います??)ただし、ビデオ用数百円
> のADCだと変換精度とか、その辺も数百円レベルと言うことになりますので、
> モロ、お遊び用ということになると思いますが…。

どうもです。
いや、モロ、お遊び用、というか勉強用に欲しかったのですよね。
ちょっと高性能なのを欲しがってたのが間違ってますね。(^^;
普通に秋葉で数百円で手に入るADCと質問すればよかったです。(^^;;
もし、ご存知でしたら、場所と値段を。。。と、あまえてみたりして。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^2: ADコンバータ コメント数:  6件
  Salt 2003/04/02 (水) 00:08
 http://homepage1.nifty.com/salt/
どうも、素早いご返答ありがとうございます。

なんとなく相場がわかったのと、
検索するきっかけになる型番がわかったので、
すごくうれしいです。

昨日はいろいろ探したつもりだったのですが、
なかなか型番までは出てこなくて、、、

で、自分は、なかなか高性能なものを欲しがっていたのですね。(^^;;

> MB40C568PF-G-BND \570 8bit 20MHz
これが欲しい〜
と思ったけど、秋葉じゃ手に入らないのですか、、、
残念です。

質問を変えて、秋葉で数百円で手に入る ADC って
どのぐらいの性能でしょうか?
秋月とか見ても、出てこなくて。。。

今度、秋葉に行っていようかな〜



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re: ルネサス・テクノロジ コメント数:  1件
  なひたふ 2003/04/01 (火) 19:41
こんばんは

> さふゐへば、けふからルネサス・テクノロジ発足という話は
> 聞いていたんですが、こんなに急にメアドまで変わるとは。
> ブックマークのH8のところクリックしたらやっぱりダメでした。
> というわけで、日立と三菱の半導体は、本社から分離され、
> 本日より、統合されて「ルネサス・テクノロジ」となります。

大部分のデータシートが見れなくなるかと思っていたのですが、
大丈夫ですね。古いものもちゃんと読めるようです。よかった。
全データシート中の社名がルネサス・テクノロジに変更されてますね。
(一部残っている部分もありますが・・)
想像を絶するすごい工数だったのでしょうね。

ホームページからちゃんと各種データシートを見れました。
ただ、JavaScriptをOFFにしている私にとっては使いづらいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 ルネサス・テクノロジ コメント数:  2件
  Nonta 2003/04/01 (火) 15:18
こんにちは、ノン太です。
今日、日立半導体の人に、メールしたら、エラーで戻ってきました。
さふゐへば、けふからルネサス・テクノロジ発足という話は
聞いていたんですが、こんなに急にメアドまで変わるとは。
ブックマークのH8のところクリックしたらやっぱりダメでした。
というわけで、日立と三菱の半導体は、本社から分離され、
本日より、統合されて「ルネサス・テクノロジ」となります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re: ADコンバータ コメント数:  5件
  くり 2003/04/01 (火) 13:52
 Saltさん、こんにちは。

>8bit 程度でいいので、50MHz ぐらいで高速に変換してくれるのが欲しいです。

 なひたふさんも書かれているように、8bit、50Mサンプルで低価格となると、ビデオ信号用と言うことになりますが、入力がビデオ用に特化されているため、広範囲なアナログ入力を受けようとすると
ADCの前のアナログ回路の方が厄介になると思います。下手をするとこっちの方に数千円掛かるかも?

>ロジアナにつなげばアナログ波形見れるのですよね???
># もしかして、すごくバカなこと書いてる???

 基本的には、そのお考えでOKです。(ロジアナではアナログ波形というか、波形が数値変換されたものが見えると思います??)ただし、ビデオ用数百円のADCだと変換精度とか、その辺も数百円レベルと言うことになりますので、モロ、お遊び用ということになると思いますが…。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re: ADコンバータ コメント数:  7件
  なひたふ 2003/04/01 (火) 02:32
> ADCを探してたら、ここに来ました。
> 数百円程度で遊べるADコンバータってないでしょうか?
>
> #「インターネットの部品屋さん」にも同じ内容を書いちゃってます。(^^;;
>
> ソフト屋なので、相場が全くわかりませんが、
> 8bit 程度でいいので、50MHz ぐらいで高速に変換してくれるのが
> 欲しいです。

50MHzとなると、ビデオ信号用になります。
RSコンポーネンツなら高めですが、確実に買えます。
ただし、50MHzで数百円はないようです。

MB40C568PF-G-BND \570 8bit 20MHz
MB40C568HPF-G-BND \830 8bit 30MHz
MB40C558PF-G-BND \1,100 8bit 45MHz
MB40528P-G \2,470 8bit 60MHz
MB40C328PFV-G-BND \2,820 8bit 100MHz

代理店から買えば、100個単位でやすく買えるはずです。

秋葉ではまず手に入らないでしょう。
秋葉の店は、ADコンバータやDAコンバータのようなものは
3世代前のものしか置いてませんし、あっても高いです。

ただ、ADコンバータは、チップの入手以上に
アナログ回路を低ノイズにつくることが難しいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 ADコンバータ コメント数:  14件
  Salt 2003/04/01 (火) 00:14
 http://hom/
ADCを探してたら、ここに来ました。
数百円程度で遊べるADコンバータってないでしょうか?

#「インターネットの部品屋さん」にも同じ内容を書いちゃってます。(^^;;

ソフト屋なので、相場が全くわかりませんが、
8bit 程度でいいので、50MHz ぐらいで高速に変換してくれるのが
欲しいです。

ロジアナにつなげばアナログ波形見れるのですよね???

# もしかして、すごくバカなこと書いてる???
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: Xilinx WebpackでVerilog/VHDL混在設計するには? コメント数:  1件
  hiroike 2003/03/31 (月) 22:07
はじめまして
VHDLで書かれたCPUコアをverilogで使うっていうのが参考になるかも。
http://members.tripod.co.jp/x1resource/xilinx/ip/t80vel.htm

ほんとは、XSTがedifを吐ければ、いいのでしょうけどね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Xilinx WebpackでVerilog/VHDL混在設計するには? コメント数:  2件
  rupppau   | ruppau@anet.ne.jp 2003/03/31 (月) 19:51
XilinxのWebpackを使って,VHDLで書かれたモジュールとverilogで書かれたモジュールを,1つのFPGAに実装する方法をご存知ないでしょうか?
XilinxのSpartan-IIにPIC互換プロセッサCQPICを入れようとしています.CQPICとVe自作モジュール(Verilog)を接続しようとしています.
Xilinxのプロジェクトを作るときに,ソースコードの種類をVHLDかVerilogか,いづれかを選ぶようになっているのですが,両方のソースコードを使うという選択肢がなく,どうすればいいか分からず困っています.
では

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^5: プリンターの消費電力 コメント数:  0件
  Nonta 2003/03/31 (月) 13:33
デジタルテスタなら,これがよいでしょう.
秋月注文番号:M-124
「3/4桁液晶[3999]表示(電力が測れる!)デジタルマルチメータ [M3860M]」
使用例は橋詰先輩のhpにあります.
http://www003.upp.so-net.ne.jp/hashizume/Thinking/Power.pdf
パソコンとはRS-232Cで接続できますが,
取説読んで絶縁されていなかったら,
フォトカップラを入れてください.
木下先輩のソフトで接続すれば,EXCELにデータが取り込めます.
http://activecell.cool.ne.jp/easycomm/index.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re^4: プリンターの消費電力 コメント数:  1件
  軽石 2003/03/31 (月) 12:19
> > 測定は最近のシリアルポート付きのデジタルオシロを使えば...
> 難しくは無いんですが,お金が!

おっと、勘違いです。
デジタルテスターでした。(^^;
趣味としての測定だったらテスターで十分かなとおもいました。
ACだと危ないので、DCに変換した後測定しましょう。

> 電流プローブとAC電圧測定用の差動プローブが必要です.
> これを省略すると,軽石さんも出入りしているオシロBBSで
> 以前話されたように,軽ければ,漏電ブレーカが飛ぶ程度,
> 重いと火を噴くことになります.絶縁トランスをプリンタ側に
> 入れればいいんですが,ライン・インピーダンスが大きくなりますから,
> 力率が変わって,実使用状態との相関が取れません.
>
> 安価に計測したいのなら,紹介した電力測定ユニットの製作をお薦めします.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^3: プリンターの消費電力 コメント数:  2件
  Nonta 2003/03/31 (月) 12:09
エムエムさん,測定の目的がよくわからないのですが?

> 力率については、0.6〜0.7や0.7〜0.8で計算すると書いてありましたが
> プリンターは一般家電として0.75で計算してみようかと思います。
計算で推測するのは,ブツがないときです.
ブツがあれば,「推測」ではなく「計測」するのが常識です.
同じブツの力率は消費電力で変わりますから,一定力率で推測しても時間のむだです.
それよりも,メーカーに問い合わせた方が正確なデータがもらえて,時間も節約できます.

> 測定は最近のシリアルポート付きのデジタルオシロを使えば...
難しくは無いんですが,お金が!
電流プローブとAC電圧測定用の差動プローブが必要です.
これを省略すると,軽石さんも出入りしているオシロBBSで
以前話されたように,軽ければ,漏電ブレーカが飛ぶ程度,
重いと火を噴くことになります.絶縁トランスをプリンタ側に
入れればいいんですが,ライン・インピーダンスが大きくなりますから,
力率が変わって,実使用状態との相関が取れません.

安価に計測したいのなら,紹介した電力測定ユニットの製作をお薦めします.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^2: プリンターの消費電力 コメント数:  3件
  エムエム 2003/03/31 (月) 04:05
NONTAさんありがとうございます。

お恥ずかしながら、力率というものの存在をはじめてしりました。
単純に100V×測定電流でよいものだとおもっていました。
この計算では皮相電力(VA)となるのですね。
それに力率を掛けたものが、消費電力となるようですね。
力率については、0.6〜0.7や0.7〜0.8で計算すると書いてありましたが
プリンターは一般家電として0.75で計算してみようかと思います。

もう少し勉強してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^2: プリンターの消費電力 コメント数:  0件
  エムエム 2003/03/31 (月) 04:00
軽石さん ありがとうございます。
> どんなプリンターでしょうか?
A4型のカラープリンターです。

> プリンタの動作状況で変わりますが、どのような状況で測定するつもりでしょうか?
1分間に連続印字をする予定です。

なんとか調べてみたのですが、ACコード側で電流値を測定して
それから力率を掛けて計算してみようかと思っています。
力率というものの存在をはじめて知りました。
まだまだ未熟です・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re: プリンターの消費電力 コメント数:  1件
  軽石 2003/03/30 (日) 23:52
こんにちはエムエムさん

> プリンターが稼動中にどれだけ電力を食っているか測定してみたく投稿しました。

どんなプリンターでしょうか?

> 調べて見たい値は、最大消費電力、最小消費電力、平均消費電力(積分値?)
> です。ACの配線にはさんで測定する電流系があると思うのですがそれで測定
> してみようかと思っています。
> 最大最小値は値を見て、100V×電流値で電力がわかると思うのですが、

プリンタの動作状況で変わりますが、どのような状況で測定するつもりでしょうか?

> 平均値はどのように測定したらよいでしょうか?
> 1分間の平均の電力を測定したいと思っています。2秒サンプリング位で
> メモしながら平均をとるのが手っ取り早いでしょうか?

こちらも動作状況でかわりますね。

最小消費電流は待機モードの時でしょうかね?

測定は最近のシリアルポート付きのデジタルオシロを使えばそれほど難しくは
なさそうですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re: プリンターの消費電力 コメント数:  4件
  Nonta 2003/03/30 (日) 18:55
エムエムさん,電力には力率というものがあります.
一般に,電圧のひずみは少ないんですが,電流はものすごくひずんでいます.
ひずみ=高調波が多いということから,これが無効電力になります.
数学的に言うと,基本波と高調波の積の1周期の積分はゼロと言うことです.
電力を求めるには,電圧と電流を掛けて積分(平均化)します.
アナログ乗算器を使用した例はここで,後ろにAD変換が必要です.
http://www.cqpub.co.jp/hanbai/books/30631.htm
第8章 AC電流センサの使い方,テーブル・タップ型電力計を作る

専用ICを使用した例はここで,デジタル処理ですから,そのまま取り込めます.
http://www.cqpub.co.jp/hanbai/books/32681.htm
第3章 DSP内蔵電力メータICを使った交流電力監視システムの製作

いずれにしろ,絶縁には充分な注意を払わないと,危険です.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 プリンターの消費電力 コメント数:  7件
  エムエム 2003/03/30 (日) 18:26
皆様こんにちは、エムエムです。

プリンターが稼動中にどれだけ電力を食っているか測定してみたく投稿しました。
調べて見たい値は、最大消費電力、最小消費電力、平均消費電力(積分値?)
です。ACの配線にはさんで測定する電流系があると思うのですがそれで測定
してみようかと思っています。最大最小値は値を見て、100V×電流値で
電力がわかると思うのですが、平均値はどのように測定したらよいでしょうか?
1分間の平均の電力を測定したいと思っています。2秒サンプリング位で
メモしながら平均をとるのが手っ取り早いでしょうか?
良い方法がございましたらお教えください。
よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^2: NAXJPの書き込みについて コメント数:  0件
  しん 2003/03/26 (水) 13:15
返信ありがとございます。

信号がぶつかっているのですね。わかりました。
NAXJPでの消去は先日も書いた内容と同じエラーでした。
違う方法で消去を試みてみます。

> 可能ならば、CPLDを一度消去してみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re: NAXJPの書き込みについて コメント数:  1件
  なひたふ 2003/03/26 (水) 00:01
> Device error:Device 1 TDO value is not equal, expected:01, actual:F3
> Device error:JTAG device is not connected correctly. Please check

CPLDが認識されなかったようですね。

XILINXの正規ツール(iMpact)でも同様に書きこみができなければ、
CPLDが壊れている可能性があります。
でも、CPLDは滅多に壊れるものではありません。

もしパラレルポートの結線がCPLDのI/Oピンと接続されていれば、
CPLDのI/Oが出力している信号と、PCが出力する信号がぶつかって、
正常なJTAG動作ができないということが起きます。

例えば、CPLDのあるピンが入力だと思って
パラレルポートと接続する設計にしていた場合、
設計ミスや、CPLDの書きこみミスによってそのピンが
出力状態になったとき、以後のJTAGは不能になってしまいます。
そういう状態になっていないでしょうか?

可能ならば、CPLDを一度消去してみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re^3: 消費電流の求め方について教えて下さい。 コメント数:  0件
  軽石 2003/03/25 (火) 22:59
こんにちは友さん

レスしやすいように改行にご注意ねがいます。

> 私は、最近、電子工作に目覚めてただいま勉強中です。

電子回路は楽しいので楽しんでください。

>
> 消費電流については、電池駆動を考えており、低消費電流に設計するには、
> どうすれば、良いのかな?っと思ったからです。

なるほど、電池駆動だと問題ですね。

> いろいろ本やネットで探しみましたが、いまいち理解できません。
> 回路は、CMOSロジックで構成しますが、単純には、算出出来ないので
> しょうか?

ここにCMOSの計算式がありました。
http://www.fin.ne.jp/~tkimura/fanout.htm

>ちなみにTTLの場合は、Ioh,Iolから算出すれば良いのでしょうか?

ICの最大消費電流を参考にします。

>なにか、参考になるものがあればご紹介していただけませんか。

上のWebは検索エンジンでさくっと見つけたものです。
ICについてはメーカのデータシートやアプリケーションノートを見る事で勉強になります。

あと、本屋の工学系のところへ行って本を探すのもひとつの手です。
トランジスタ技術の本を3年分くらい見ると一通りの事は書かれているはずです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: 消費電流の求め方について教えて下さい。 コメント数:  1件
  友 2003/03/25 (火) 22:41
早速のご返事ありがとうございます。
私は、最近、電子工作に目覚めてただいま勉強中です。

消費電流については、電池駆動を考えており、低消費電流に設計するには、
どうすれば、良いのかな?っと思ったからです。
いろいろ本やネットで探しみましたが、いまいち理解できません。
回路は、CMOSロジックで構成しますが、単純には、算出出来ないので
しょうか?ちなみにTTLの場合は、Ioh,Iolから算出すれば良いので
しょうか?なにか、参考になるものがあればご紹介していただけませんか。
>
> なぜ回路全体の電流を計算したいのでしょうか?
>
> COMSはクロック周波数と電圧で平均電流を計算します。
> TTLなどはデータシートを参考にします。
> あとプルアップ抵抗がある所は、その端子の電圧から流れる電流を計算します。
>
> これでおおざっぱな値はでるはずです。
>
> 通常計算は設計時に最大消費電流を決める為に行い、最終的には実測します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 ICについて返答ありがとう 軽石様 コメント数:  0件
  瓦 2003/03/25 (火) 20:00
軽石様 いろいろ教えていただき有難う御座います。
最近、電子に興味をもちだし何もかもが新しくおぼえる日々です。
分からない事だらけですが、なぜか楽しくてしょうがないです。
また質問させていただきます。どうも有り難うございます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 NAXJPの書き込みについて コメント数:  2件
  しん 2003/03/25 (火) 16:34
はじめまして、CPLDの勉強をしてるものです。

XSP001Bのキッド使いXC95108に書き込みを3,4回行うことができました。
しかし、その後下記のようなメッセージが出て前に進めなくなりました。

C:\naxjp>naxjp -write t1.jed

NAXJP Ver 0.7.5 for Windows 95/98 (C)Copyright 2001-2003 Nahitafu
Device Chain 0. Command 'write'. Device 'XC95108-7-PC84'. File 't1.jed'
Device error:Device 1 TDO value is not equal, expected:01, actual:F3
Device error:JTAG device is not connected correctly. Please check cable connecti
on and power supply.
Note:Terminating procedure by occuring errors... (bypass-first)

正常にできた時もこのような使い方し、書き込みができました。

また、エラーどおり、ケーブルなど色々と調べたのですが、異常はありませんでした。CPLDは簡単に壊れるものなのでしょうか?

初心者的な質問で申し訳ありません。どうぞ助言などをお願い致します。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re: 消費電流の求め方について教えて下さい。 コメント数:  2件
  軽石 2003/03/25 (火) 00:05
こんにちは友さん

> 現在、ロジックICを使用して、ストップウォッチを製作しています。
> そこで、回路全体の消費電流を計算したいのですが、どのように
> 算出すれば、良いのですか?

なぜ回路全体の電流を計算したいのでしょうか?

COMSはクロック周波数と電圧で平均電流を計算します。
TTLなどはデータシートを参考にします。
あとプルアップ抵抗がある所は、その端子の電圧から流れる電流を計算します。

これでおおざっぱな値はでるはずです。

通常計算は設計時に最大消費電流を決める為に行い、最終的には実測します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 消費電流の求め方について教えて下さい。 コメント数:  3件
  友 2003/03/24 (月) 21:52
現在、ロジックICを使用して、ストップウォッチを製作しています。
そこで、回路全体の消費電流を計算したいのですが、どのように
算出すれば、良いのですか?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re: ICについて コメント数:  0件
  軽石 2003/03/23 (日) 23:30
こんにちは瓦さん

投稿する前に日本語の見直しを一度やるようにしましょう。
質問の内容を理解するのに時間がかかってしまいます。

>  こんいちは、 例えば、ICが故障しているかどうかを判断するとき
>  規格表などがある場合は良いと思うのですが メーカーの基盤などにある
>  電源用などのICは、おおまかな判断でもする方法などあるものでしょうか。 宜しくおねがいいたします。

ICの機能についてはデータシートを参照します。(規格表という言い方は今はしませんね)
インターネットが普及する前にはICのスペックを個人で入手するのは大変でしたが、
最近はメーカのWebサイトに行くと入手できるのでネットでICの仕様を調べます。

基板についているICなどは、ICについている型番やメーカマークを頼りにICのデータシートを探します。

ICの仕様がわからない場合にはどんなエンジニアでもお手上げです。
(同等品を想像できる場合もありますが、経験が必要です。)

電源回路の故障などはICにクラックが入っていないか、膨れているコンデンサがないか、正常ではない部品がないかなどで調べる事でなんとかなる場合があります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^2: USB-IOがうまく動かない コメント数:  0件
  ガンボーイ 2003/03/23 (日) 17:03
早速のお返事ありがとうございます。

> 1.使用しているOSはサポートされているものですか?
>   Windows95をつかっていませんよね。

OSはWinXpです。

> 2.半田付けミスなどでショートして、電源電圧が下がっていませんか?
>   電源電圧が下がると、USBの認識までは怪しいながらも動くけれども、
>   その先の操作ができない、という現象を見たことがあります。

確認してみましたが、問題はありませんでした。
う〜ん。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 ICについて コメント数:  1件
  瓦 2003/03/23 (日) 11:55

 こんいちは、 例えば、ICが故障しているかどうかを判断するとき
 規格表などがある場合は良いと思うのですが メーカーの基盤などにある
 電源用などのICは、おおまかな判断でもする方法などあるものでしょうか。 宜しくおねがいいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re: 波形の間隔を調べるには? コメント数:  0件
  タンタン 2003/03/23 (日) 11:34
> ディレイラインは、たしか電気信号→機械的振動の伝播→電気信号と変換して
> 信号を遅延させる素子です。中を分解してみればわかりますが、コイルは
> 入っておらず、圧電素子のようなもの(?)でできています。

そういう物もありますが、コイルを使ったものもたくさんあります。
http://www.toko.co.jp/products/pdf/coils/ret.pdf

LPFを多数接続すれば、ディレイラインになります。
オシロスコープなどでは、広帯域にするため、同軸ケーブルを
使う場合があります。

変わったものでは、「水銀遅延線」、「磁歪遅延線」なんていうものもあります。
http://www2.nsknet.or.jp/~azuma/m/m0052.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: USB-IOがうまく動かない コメント数:  1件
  なひたふ 2003/03/23 (日) 00:22
> モルフィー企画さんのUSB-IOを購入し組み立てたのですが、どうもうまく動いていないようなのです。同社のホームページに記載してある動作確認方法でUSB Compliance Toolを使う方法を試してみたのですが、データが正しく書き込まれていない、というか応答していないのです。USB-IOそのものはOSに認識されています(HID準拠デバイスとして)。

モルフィー企画さんの製品の質問でしたら、とよぞうさんに聞いてみるのが
一番だと思いますが、私から2つ提案です。

1.使用しているOSはサポートされているものですか?
  Windows95をつかっていませんよね。

2.半田付けミスなどでショートして、電源電圧が下がっていませんか?
  電源電圧が下がると、USBの認識までは怪しいながらも動くけれども、
  その先の操作ができない、という現象を見たことがあります。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 USB-IOがうまく動かない コメント数:  2件
  ガンボーイ 2003/03/22 (土) 23:20
はじめまして。
モルフィー企画さんのUSB-IOを購入し組み立てたのですが、どうもうまく動いていないようなのです。同社のホームページに記載してある動作確認方法でUSB Compliance Toolを使う方法を試してみたのですが、データが正しく書き込まれていない、というか応答していないのです。USB-IOそのものはOSに認識されています(HID準拠デバイスとして)。
USBについて勉強しようと思っているのですが、いきなり壁にぶち当たっています(私はド素人です)。どなたかアドバイス頂きたくよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re^6: 位相を遅らせたいのですが コメント数:  1件
  DNA. 2003/03/22 (土) 22:30
> > > アナログで純粋に波形を維持しながら遅延させる回路は、
> > > ディレイラインか、SAWデバイスしか思いつきません。
>
> ディレイラインは、いわゆるコイルの凄いやつです。
> 波形が長い電線を伝わっていくのを利用して、
> 波形を記憶させます。記憶する時間は固定です。

ディレイラインは、たしか電気信号→機械的振動の伝播→電気信号と変換して
信号を遅延させる素子です。中を分解してみればわかりますが、コイルは
入っておらず、圧電素子のようなもの(?)でできています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re^4: E級、F級増幅回路 コメント数:  0件
  ぽりたん 2003/03/20 (木) 01:29
軽石さん、どうもです。

> このあたりは検索のキーワードの当て方だと思います。

それはわかりますが、この場合は完全に一致しているのにhitしないのが
変に思えたもので。

> 都会でも条件は同じかもしれません。

インターネットの普及に反比例して専門書の環境は悪化しているような気がします。
買うだけならネット通販という手もあるでしょうけど、安くもない本を
内容も確かめずに買うのは考えてしまいます。

> 通りすかしさんの言い方はちょっと厳しすぎるかもしれませんが、ぽりたんさんの
> 書き込みも教えていただくという雰囲気が足りないように思えます。

仕事や学校の単位がかかっていてどうしてもわからないと困るというわけじゃなく、
軽いネタ振りくらいの気持ちだったので、そのように思われたかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re^7: 位相を遅らせたいのですが コメント数:  0件
  ぽりたん 2003/03/20 (木) 01:06
横レスですが、

> たとえば1KHzの信号だと周期1ミリ秒ですが、どれくらいの長さの電線が必要になるのでしょうかね?

伝送線路と考えると単位長あたりのインダクタンスや線間容量で決まりますが、
普通の電線だと1mあたり5ns程度で、1msに相当する長さは実に200kmになります。

アナログオシロスコープなんかに使われている遅延線は同軸線路を螺旋状にして
見かけの長さを実際の長さより短くしたものですがそれでも現実的な長さには
なりません。

> そうすると昔のテレビの回路を研究すれば、アナログ方式で実現できますか?
> でも、難しそうですね。

テレビやビデオで使われている遅延線は、Y/C分離のクシ形フィルタを構成するための
ガラス遅延線で、1H期間(約63.5us)の固定遅延です。

アナログでやりたがっているようですけど、低い周波数で、最低周波数と
最高周波数の比が500倍あって、0〜1周期分の遅延時間を可変で、なんてのは
現実的じゃないので私もデジタルでやることをお勧めします。

> カラオケエコーICというのを見つけました。

エコーICはサンプリング周波数が低いのと遅延時間が遥かに長いので
残念ながら流用は無理です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re^6: 位相を遅らせたいのですが コメント数:  1件
  のり 2003/03/20 (木) 00:06
たびたび解説ありがとうございます。

> 波形が長い電線を伝わっていくのを利用して波形を記憶させます。

たとえば1KHzの信号だと周期1ミリ秒ですが、どれくらいの長さの電線が必要になるのでしょうかね?
あまり電線が長いと、波形が乱れてしまうような気がしますが、そんな心配はありませんかね。

> SAWデバイスは、数GHzの高周波で使われる表面波を利用したデバイスで

SAWデバイスは名前は聞いたことはあります。でも、私の知らない分野です。いずれにせよGHzオーダーの信号が対象ですかね。

> ディレイラインは、昔のテレビ受信機で、
> 色信号を綺麗に再現するための回路に使われていましたが、
> 最近ではデジタル処理に取って代わられてしまいました。
> アナログで波形をするのは相当な困難です。

そうすると昔のテレビの回路を研究すれば、アナログ方式で実現できますか?
でも、難しそうですね。

ああそれで、ADC+リングメモリ+DAC方式で調べていましたところ、
カラオケエコーICというのを見つけました。
http://www.rohm.co.jp/products/databook/mm/pdf/bu9253as-j.pdf
どうやら、なひたふさんに教えていただいた方式が1個のLSIになっているみたいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re^5: 位相を遅らせたいのですが コメント数:  4件
  なひたふ 2003/03/19 (水) 22:18
> > アナログで純粋に波形を維持しながら遅延させる回路は、
> > ディレイラインか、SAWデバイスしか思いつきません。

ディレイラインは、いわゆるコイルの凄いやつです。
波形が長い電線を伝わっていくのを利用して、
波形を記憶させます。記憶する時間は固定です。

SAWデバイスは、数GHzの高周波で使われる、表面波を利用したデバイスで、
物質の表面を伝わる波が、波形の形を記憶しながら伝わるため、
短時間ですが波形を記憶します。

ディレイラインは、昔のテレビ受信機で、
色信号を綺麗に再現するための回路に使われていましたが、
最近ではデジタル処理に取って代わられてしまいました。

アナログで波形をするのは相当な困難です。
正弦波のように、振幅、位相、周波数など、1つか2つくらいの
パラメータに集約させることができれば
別の解決策があるかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 皆様 返答有難うACモーター コメント数:  0件
  瓦 2003/03/19 (水) 21:04

 皆様返答有難うございます。モーターには特別力を掛けける訳ではありません たんなるペーパーを送るだけです。ギャー比を替えるか、インバーターという事ですね。 てつきり素人の考えで 50HZ 60HZ変換するチップみたいな物があるものかと考えがやはり甘かったです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re^2: ACモーター コメント数:  0件
  Tamasoi 2003/03/19 (水) 16:23
> > またまた お世話になります。
> > AC100Vのモーターなのですが、1分6回転です。(60HZ)
> > (50HZ)だと5回転なのですが、自分の住んでいる所は(60HZ)です。
> > なんとか5回転にする良い方法はないでしょうか分かる方おられましたら宜しく

全波整流後 インバーターで50Hzを作ればできるよん・・・
回路は自分で作るか、既製品を買うか 自動車用とかの DC12V−AC100Vにするインバーターを改造するとできる。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re^3: 間違えました。すみません。 コメント数:  0件
  あんぷ  | serizawa@eosjp.com 2003/03/19 (水) 12:46
助言ありがとうございました。
微分による方法、自己相関関数による方法等、
色々試してみます。(トラ技は買ってきました)

でも、スレッシュを決めれれば一番簡単でいいんですけどね。
今回はそうもいかなそうなので・・・
実験がうまくいかない場合にはまた助言をお願いするかもしれませんが、
そのときはよろしくお願いします。
ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^4: 位相を遅らせたいのですが コメント数:  5件
  のり 2003/03/19 (水) 09:43
リング状のメモリの解説、ありがとうございました。大変勉強になりました。

>>それと、やはり、アナログ回路だけで、
>>私の目的とする回路を構成することは、難しいでしょうか??
>
> できません。
> 作ろうとしている回路は、短期間であっても波形を記憶している回路です。
> アナログの波形をそのまま遅延させることは、単純な回路ではできません。
> フェーズシフタや、その他のアナログ回路は、
> 信号を遅延するように見えますが、そうではありません。

なるほど。そのとおりですね。

> アナログで純粋に波形を維持しながら遅延させる回路は、
> ディレイラインか、SAWデバイスしか思いつきません。

このあたり、調べてみます。
ありがとうございます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re: ACモーター コメント数:  1件
  通りがかりますか? 2003/03/18 (火) 23:18
> またまた お世話になります。
> AC100Vのモーターなのですが、1分6回転です。(60HZ)
> (50HZ)だと5回転なのですが、自分の住んでいる所は(60HZ)です。
> なんとか5回転にする良い方法はないでしょうか分かる方おられましたら宜しく
> お願いします。

何に使うか分かりませんが、ギヤを2個使えば、回転数は落とせます。
比率は6:5?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re: ACモーター コメント数:  0件
  なひたふ 2003/03/18 (火) 23:17
> またまた お世話になります。
> AC100Vのモーターなのですが、1分6回転です。(60HZ)
> (50HZ)だと5回転なのですが、自分の住んでいる所は(60HZ)です。
> なんとか5回転にする良い方法はないでしょうか分かる方おられましたら宜しく
> お願いします。

基本的にできません。

磁極数を5/6に減らすか、
摩擦を増やし、すべりを増やしてください。
すべり s=(60-50)/60=0.1666です。

トルクは、T∝(r2/s)E2^2/((r2/s)^2+x2^2)ですので、
ちょっとわかりづらい式ですね。
モーターからものすごい抵抗力を受けるでしょうが、
速度を落とすことはできます。

さもなければ、ギア比を変えるのがいいでしょう。
1分間6回転というのは、遅すぎます。
ものすごいギア比の歯車があるはずです。
これもかなり最終手段ですが、
本当の最終手段はインバータを製作することです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^3: 位相を遅らせたいのですが コメント数:  6件
  なひたふ 2003/03/18 (火) 23:04
リング状のメモリとは、
通常のメモリをソフトウェア的にリング状のように使うのです。

書きこみ用のアドレスカウンタと、
読み出し用のアドレスカウンタを用意し、
AD変換したデータを、書きこみカウンタが示す番地に書きこみます。
書きこみカウンタは1つ値を増やします。

次に、読み出しカウンタが示すアドレスのデータを読み出し、
DA変換へ出力します。読み出しカウンタは1つ値を増やします。

各カウンタがある値に達したとき、0にクリアします。
このようにすると、書きこんだデータは一定時間後に読み出されて、
出力されますので、任意の時間の遅延回路が作れます。

> それと、やはり、アナログ回路だけで、私の目的とする回路を構成することは、難しいでしょうか??

できません。
作ろうとしている回路は、短期間であっても波形を記憶している回路です。
アナログの波形をそのまま遅延させることは、単純な回路ではできません。
フェーズシフタや、その他のアナログ回路は、
信号を遅延するように見えますが、そうではありません。

アナログで純粋に波形を維持しながら遅延させる回路は、
ディレイラインか、SAWデバイスしか思いつきません。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 ACモーター コメント数:  3件
  瓦 2003/03/18 (火) 22:49
またまた お世話になります。
AC100Vのモーターなのですが、1分6回転です。(60HZ)
(50HZ)だと5回転なのですが、自分の住んでいる所は(60HZ)です。
なんとか5回転にする良い方法はないでしょうか分かる方おられましたら宜しく
お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^2: 位相を遅らせたいのですが コメント数:  7件
  のり 2003/03/18 (火) 22:22
アドバイス、ありがとうございます。
さて、

> のりさんは、どんな信号でも、時間差を
> 指定して遅らせたいのでしょうか。

その通りです。
入力信号は、周期的な波形です。でも、必ずしも、単一のサイン波ではなく、パルスに近い場合もあります。
そういう信号を、ボリュームか何かを回すと、徐々に遅延して、最大1周期遅延させたいのです。

> それなら、A/D変換して、リング状のメモリに蓄えて、
> D/A変換して出力するのがベストでしょう。

なるほど。ただ、私は、オペアンプでアナログ回路をちょっと作ったことがある程度で、デジタルは、経験ありませんので、私には難しいのでは、と思ってしまいます。でも、せっかくのアドバイスですので、調べてみます。
そこで教えていただきたいのですが、お勧めのリング状のメモリの、具体的な型番などお教えいただきたいのですが。あるいはメーカー名だけでも結構です。

それと、やはり、アナログ回路だけで、私の目的とする回路を構成することは、難しいでしょうか??
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re: 位相を遅らせたいのですが コメント数:  8件
  なひたふ 2003/03/18 (火) 21:17
> 入力がサイン波だと、オールパスフィルターというのが
>使えそうだということは調べたのですが、
フェーズシフタ回路ですね。周波数が決まっていれば使えます。

>パルスだと、いろいろな周波数成分が含まれているので、
>たぶん、うまくいかないのではと思っています。

どんな周波数でも等しい位相だけ遅延させるのと、
パルスを遅延させる条件は両立しません。

のりさんは、どんな信号でも、時間差を
指定して遅らせたいのでしょうか。

それなら、A/D変換して、リング状のメモリに蓄えて、
D/A変換して出力するのがベストでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 位相を遅らせたいのですが コメント数:  9件
  のり 2003/03/18 (火) 20:02
はじめまして。みなさん、こんにちは。
専門家の方、アドバイスお願いいたします。
1KHz〜500KHzくらいの周波数の入力信号の位相を遅らせたいのです。
遅延させる範囲は、0から最大1周期まで、可変にしたいのです。
入力する信号は、サイン波に近いのですが、できればパルスにも対応させたいです。
入力がサイン波だと、オールパスフィルターというのが使えそうだということは調べたのですが、パルスだと、いろいろな周波数成分が含まれているので、たぶん、うまくいかないのではと思っています。何か、お勧めの回路ありますでしょうか?
よろしく。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re^2: 間違えました。すみません。 コメント数:  0件
  タンタン 2003/03/18 (火) 12:15
> 原理を書くのは簡単ですが、実装には、きっと大変な困難が予測されます。

第1の壁は電極です。人体になじみが良くて、無害なものが必要です。
くれぐれも、感電には注意が必要です。
機器のアースは必ず取ってください。

次は、AC100Voltの誘導です。心電の電圧はミリボルトオーダーですが、
誘導は、数十ボルトになることもあります。
インスツルメーション・アンプが必要でしょう。

帯域は0.1Hz〜100Hz程度で良いでしょう。直流のカットも必要です。
AD変換は問題ないですね。

信号処理は、なひたふさんの方法のほかに、自己相関を使う方法があります。
相関のピークで、周期が分かります。

自己相関関数については、文字だけで説明するのは難しいので、
自分で調べてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re^2: 間違えました。すみません。 コメント数:  1件
  Nonta 2003/03/18 (火) 10:00
あんぷさん
「心電図」の波形だったら,下記の↓本の
「第6章 だれにでも製作できる生命監視装置の製作」
に,取り込み回路がのっています.
マイコンはアナデバのADuC812(下記参照)です.
「トランジスタ技術SPECIAL No.76
IT時代の組み込みマイコン応用技術」
http://www.cqpub.co.jp/hanbai/books/32681.htm

ADuC812マイコン基板の説明はここ↓,商売上手ですね.
「トランジスタ技術SPECIAL No.71
OPアンプから始めるアナログ技術」
http://www.cqpub.co.jp/hanbai/books/32631.htm
第8章 マイクロコンバータ1チップでデータ集録
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re^3: E級、F級増幅回路 コメント数:  1件
  軽石 2003/03/18 (火) 09:57
こんにちにはぽりたんさん

> ああ、まさにそのものの内容ですね。K級まであるんですか・・・。
> 試しにGoogleで再度検索してみましたが、"E級増幅"で4件、"F級増幅"で2件のみで
> そのサイトは何故かhitしません。

このあたりは検索のキーワードの当て方だと思います。

「A B C F 級 増幅」で検索をかけると先頭に出てきます。

文字を細かくして、検索条件を多くするのも検索の技ですね。


>
> > webで見つからなかったら、人に聞く前に専門書で調べてみましょう。
>
> 本屋も図書館も工学書の棚はコンピュータ関係の本にすっかり占領されてしまって
> 電子工学の本なんてほとんどありませんが。

都会でも条件は同じかもしれません。
図書館に行く暇すらない。

通りすかしさんの言い方はちょっと厳しすぎるかもしれませんが、ぽりたんさんの
書き込みも教えていただくという雰囲気が足りないように思えます。

厳しいようですが、アドバイスはきっちりとしています。
でももう少しやさしくしてくれるとありがたいですね。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re: 間違えました。すみません。 コメント数:  3件
  なひたふ 2003/03/18 (火) 09:31
波形のピークを測ってみてはいかがでしょうか?

人間がその波形を見たときに、ピークの数を数えると思いますが、
機械に同じことをやらせてはいかがでしょう。

1 まず、フィルタを用いて、信号が含まれる周波数帯域を絞ります。
2 微分出力を得ます。
3 若干のLPFでノイズをカットします。
4 微分値が0を横切った瞬間がピークですが、振幅の頂点で揺らぐ可能性も
 あるので、振幅が正の場合のピークと、負の場合のピークが交互に来る
 ことを条件とします。
 つまり、((微分=0) & (|振幅| > 閾値))を条件としてピークを見つけ、
 ピーク時の振幅が正と負かを判断します。
5 正と負のピークの間隔から波形の周期を求めます。

原理を書くのは簡単ですが、実装には、きっと大変な困難が予測されます。
マイコンを使って、ソフトウェアで処理したほうが簡単でしょう。

> 「脳波」ではなくて、「心電図」の様な波形でした。
> (教えていただいたページを見させていただいてから気が付きました。)
>
> まずはスレッショルド電圧を決め、実験してみることにします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 間違えました。すみません。 コメント数:  4件
  あんぷ  | serizawa@eosjp.com 2003/03/18 (火) 08:56
あんぷです。
助言のほどありがとうございます。

ここで謝らなければいけません。
「脳波」ではなくて、「心電図」の様な波形でした。
(教えていただいたページを見させていただいてから気が付きました。)

まずはスレッショルド電圧を決め、実験してみることにします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 Re^2: 波形の間隔を調べるには? コメント数:  0件
  タンタン 2003/03/18 (火) 08:09
こんにちは、タンタンです。

>
> 周期が長ければA/Dコンバータ内蔵のPICなどで対応できそうですが、
> 早いのであれば対応できないかも?
>
> 脳波ってどんな波形何だろう?見た記憶がない・・・・。(^^;

アルファー波とか、シータ波とか、聞いたことありませんか?

http://www.tri.pref.osaka.jp/group/sense/hp2/eeg1.htm
に、波形のサンプルがあります。

1Hz〜60Hz程度ですから、信号の取り込みには
PICでも、問題ないでしょうが、処理のほうは、
乗算器などが無いと厳しいんじゃないかな。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 Re^2: E級、F級増幅回路 コメント数:  2件
  ぽりたん 2003/03/18 (火) 00:13
Nontaさん、通りすかしさん、どうもです。

>日経エレクトロニクス,1976.8.23号,pp.121-146

こちらの図書館には日経エレは入ってないですし、当時は入っていたとしても
30年近く前の雑誌なんてさすがにもう残ってないです。

> http://suetsugu.tec.fukuoka-u.ac.jp/ClassEguide.htm
> なんかどうでしょうか。web検索かけたら一発で出てきましたが…

ああ、まさにそのものの内容ですね。K級まであるんですか・・・。
試しにGoogleで再度検索してみましたが、"E級増幅"で4件、"F級増幅"で2件のみで
そのサイトは何故かhitしません。

> webで見つからなかったら、人に聞く前に専門書で調べてみましょう。

勿論調べましたが、載ってる本なんて見つかりませんでした。
A級、B級は何にでも載ってますが、C級になるとそのうちの6、7割、
D級以降は用語辞典に3、4行の説明があった程度。

ただ、首都圏などではどうだか知りませんが、ここ中国地方某県の県庁所在地では
本屋も図書館も工学書の棚はコンピュータ関係の本にすっかり占領されてしまって
電子工学の本なんてほとんどありませんが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re: 波形の間隔を調べるには? コメント数:  1件
  軽石 2003/03/17 (月) 21:13
こんにちはあんぷさん

> 電気関係の仕事をしているものです。
> 今度、ランダムに発生する波形(振幅もランダム)の間隔を記録したいと思っています。
> 簡単な例を上げると、脳波みたいにランダムに発生し、振幅もランダムなものの、
> 波形と波形間の時間を調べたいと思っています。
> なにか良いIC、もしくは回路がありましたら教えてください。
> 助言のほどお願いいたします。

仕様を明確にしないとアドバイスしにくいですね。
とりあえずランダムな波形の間隔はどの程度なのでしょうか?

あと、振幅もランダムと書かれていますいますがどういう事でしょうか?
通常パルスの監視には一定の閾値を決めて、それを超えたら周期監視のスタートと
するのが普通だと思います。

周期が長ければA/Dコンバータ内蔵のPICなどで対応できそうですが、
早いのであれば対応できないかも?

脳波ってどんな波形何だろう?見た記憶がない・・・・。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re: 波形の間隔を調べるには? コメント数:  0件
  タンタン 2003/03/17 (月) 21:11
タンタンです。

> 電気関係の仕事をしているものです。
> 今度、ランダムに発生する波形(振幅もランダム)の間隔を記録したいと思っています。
> 簡単な例を上げると、脳波みたいにランダムに発生し、振幅もランダムなものの、
> 波形と波形間の時間を調べたいと思っています。
> なにか良いIC、もしくは回路がありましたら教えてください。
> 助言のほどお願いいたします。

統計的な性質に注目して処理することになります。
本当にランダムだったら、調べようが有りません。

このような信号を取り扱うのは、“不規則信号論”と言います。
YW.リー著 「不規則信号論」と言う本が有りますが、絶版のようです。
図書館の蔵書にあるかもしれません。

グーグルで検索したら、56件ヒットしました。
“Random Signal Theory”ならば、多数ヒットしますね。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 波形の間隔を調べるには? コメント数:  8件
  あんぷ  | serizawa@eosjp.com 2003/03/17 (月) 18:53
電気関係の仕事をしているものです。
今度、ランダムに発生する波形(振幅もランダム)の間隔を記録したいと思っています。
簡単な例を上げると、脳波みたいにランダムに発生し、振幅もランダムなものの、
波形と波形間の時間を調べたいと思っています。
なにか良いIC、もしくは回路がありましたら教えてください。
助言のほどお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re: E級、F級増幅回路 コメント数:  3件
  通りすかし 2003/03/17 (月) 12:45
> 先月、C級増幅回路についての書きこみがありましたが、
> E級、F級増幅回路とはどのようなものなのでしょうか?
>
> 言葉は聞く割に、検索してみてもどのようなものかわかるものは
> 全然見つかりませんでした。

http://suetsugu.tec.fukuoka-u.ac.jp/ClassEguide.htm
なんかどうでしょうか。web検索かけたら一発で出てきましたが…
webで見つからなかったら、人に聞く前に専門書で調べてみましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re: E級、F級増幅回路 コメント数:  0件
  Nonta 2003/03/16 (日) 16:32
< 先月、C級増幅回路についての書きこみがありましたが、
< E級、F級増幅回路とはどのようなものなのでしょうか?

http://www.geocities.co.jp/Technopolis-Mars/5197/geobook.html
ここ↑の書き込みによると,
「高効率増幅回路の動作クラス分け」,日経エレクトロニクス,1976.8.23号,pp.121-146
に出ているそうです.図書館ででも探したらどうでしょうか?
あるいは,書き込んだ方に,礼儀を尽くして聞くとか.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 E級、F級増幅回路 コメント数:  5件
  ぽりたん 2003/03/16 (日) 15:17
先月、C級増幅回路についての書きこみがありましたが、
E級、F級増幅回路とはどのようなものなのでしょうか?

言葉は聞く割に、検索してみてもどのようなものかわかるものは
全然見つかりませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re^3: 改良案 コメント数:  0件
  あんびん  | gnanvin@ybb.ne.jp 2003/03/14 (金) 19:21
> 電灯線に直結する回路では,サージ対策が最重要です.
> ONしたときと,雷サージですね.
> 整流器の前に抵抗を入れるのは起動サージを抑える常識的な手法です.

ご指摘ありがとうございます。LEDにサージ電流が流れないから要らないかとも思ったのですが、電源ON時はショート状態ですね。
実はあるAC100Vの電源ON表示にコンデンサ+ブリッジ整流器+赤LED1個で実現しているのですが、その内サージ電流で壊れるかもしれません。

> あんびんさん,エルモさんにはメールしておきました.
> あんびんさんにも,メアド書いてくれれば送りますが.

私も使うことがあるかもしれませんのでぜひお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^3: 改良案 コメント数:  0件
  通り掛かり 2003/03/14 (金) 02:38
nontaサン

> 例の第2案は,昔から使われている直線検波器の回路です.

どちらかというと倍圧全波整流回路として
思いつき^H^H出したのでした。
(いつものtypoです。発明したなんて思ってません)

> 問題は,AC電流とDC電流の関係をわかっているかどうかと言うことです.

取り出す電圧を大きくしたり、コンデンサの容量が大きいと
AC電流の高調波成分は大きくなりますので、電流制限Cの
効力は弱まりACDC電流とも増えますね。計算しようとすると
大変です。おっしゃってるようにかなり専門的ですね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^2: 改良案 コメント数:  2件
  Nonta 2003/03/13 (木) 21:52
あんびんさん,エルモさんにはメールしておきました.
あんびんさんにも,メアド書いてくれれば送りますが.

電灯線に直結する回路では,サージ対策が最重要です.
ONしたときと,雷サージですね.
整流器の前に抵抗を入れるのは起動サージを抑える常識的な手法です.
また,最低でも,1kVのサージが入ったときの対策は講じておきます.
通り掛かりが,風呂から出てまた戻るのは如何なものかと思います.
そろそろ,「トン太」とかまっとうなhnを名乗るべきでしょう.
例の第2案は,昔から使われている直線検波器の回路です.
問題は,AC電流とDC電流の関係をわかっているかどうかと言うことです.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re: 改良案 コメント数:  3件
  あんびん 2003/03/13 (木) 20:31
通り掛かりさん、先日はどうも

通り掛かりさんの せっかくのご提案に誰も興味を示していないようですが、改良案を二つほど申しますと、
@LEDのインピーダンスは結構低いのでLEDに直接コンデンサを接続したのでは平滑の効果があまり得られません。
LEDに抵抗を直列に接続して平滑コンデンサを接続したほうがよいと思います。
Aブリッジ整流器のちっこいのが格安でありますので、追加部品はブリッジ整流器と平滑コンデンサそれぞれ1個ですみます。

@Aの案を下図に示します。値はテキトーに決めたので、好みにより変更してもよいと思います。

電流制限C(250V 0.33μF)
       │    [ ]内はブリッジ整流器
       ↓    ↓
AC100V─┨┠─[〜 +]─┬─470Ω1W─┐
                  │        |
                  ┷+   LEDn個直列
                  ┯-      |
AC100V────[〜 −]─┴─────┘
                  ↑
               平滑C(35V 100μF)(電解コンデンサ)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re: 真空管(放電管SN-4A1) コメント数:  0件
  Yoshi 2003/03/12 (水) 06:06
> 上記の真空管を入手したいので情報(売っている場所、価格、入手方法等)を教えてください

ストロボ放電管ですか。。。懐かしいです。
日本では見つかりませんでしたが、カナダやアメリカには流通在庫、サープラスストアに多少あるようです。だいたい$20から$30くらいです。例えば
http://www.vacuumtubes.com/price1.html
とか
http://members.shaw.ca/pacifictv/MAIN.HTM
とか。。。
SN−4A1は東芝のスペックにもありますが、海外では
SN4とか1D21−SN4とか631−P1とかいう名称で呼ばれています。
せっかくWebがあるのですから、欲しいものがあったら世界中を探しましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re^12: AC100VでLED点灯 コメント数:  0件
  エルモ  | tome6@mb.infoweb.ne.jp 2003/03/12 (水) 02:54
NONTAさんメールありがとうございます。

さっそく部品をあつめて実験したいと思います。
でも、現在でもAC100VでLEDを光らせることができて
感動しております。これからはもっと交流を勉強します。
難しそうな世界ですが、なんとか習得したいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 真空管(放電管SN-4A1) コメント数:  1件
  鎹(かすがい)  | hr21@s9.kcn-tv.ne.jp 2003/03/11 (火) 16:56
上記の真空管を入手したいので情報(売っている場所、価格、入手方法等)を教えてください
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 ちょっと変えるとちらつき半減 コメント数:  4件
  通り掛かり 2003/03/11 (火) 02:31
フロの中で前投稿の回路を考えてたら、思いつきました。
前投稿では、、半波整流が2つの回路ですが、

下半分のコールド側に接続されたLED端子を切り離して
以下のようにすると、全波整流となるので、チラツキは、
100Hzになって目立たなくなりますね。


    │    
   ┌┴┐
   │ │
   ┷ ▼ 整流用Di
   ▲ ┯ 
   │ │
 ┌─┤ ├─┐
 │ │ │ │
 │ ┷ ▽ │発光Di 直列n個(例では3)を2組用意
 │ △ ┯ │(一個ずつの逆並列は外してしまう)
 │ │ │ │
−┷ ┷ ▽ ┷+ 電解C
 〃 △ ┯ 〃
+┯ │ │ ┯−
 │ ┷ ▽ │
 │ △ ┯ │
 │ └─┘ │
 └─────┴─ AC(コールド側だと感電しない)

    △切り離した。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re^12: AC100VでLED点灯 コメント数:  5件
  通り掛かり 2003/03/10 (月) 23:36
エルモさんこんばんは、nontaさんどうも

> コンデンサでドロップさせた場合の整流回路は,すこし専門的になり,

LEDのちらつきを押さえるくらいなら
ローテクで

    ┌─ AC(ホット側)
    │
    C  電流制限用C
    │
    R  電流制限用R
    │    
   ┌┴┐
   │ │
   ┷ ▼ 整流用Di
   ▲ ┯ 
   │ │
 ┌─┤ ├─┐
 │ │ │ │
 │ ┷ ▽ │発光Di 直列n個(例では3)を2組用意
 │ △ ┯ │(一個ずつの逆並列は外してしまう)
 │ │ │ │
−┷ ┷ ▽ ┷+ 電解C
 〃 △ ┯ 〃
+┯ │ │ ┯−
 │ ┷ ▽ │
 │ △ ┯ │
 │ │ │ │
 └─┴─┴─┴─ AC(コールド側だと感電しない)

こんなんで実現できそうですが。。。
ちゃんとしなきゃダメですかね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re^12: AC100VでLED点灯 コメント数:  0件
  エルモ  | tome6@mb.infoweb.ne.jp 2003/03/10 (月) 23:33
nontaさんありがとうございます。

0.22 0.33と表記してあるのでてっきりあっているとおもっていました。
ちらつきは照らして見るとそんなに気になるほどではありませんでしたが
できることならなくして見たいです。
LEDは日亜化学の5φのものを使用しています。
数量は6個または8個の予定です。

ご指定のアドレスにアクセスできませんでした。
回路図のメール送信よろしくお願いいたします。
あわせてトラギも確認してみます。

なんどもお世話になります・・・0.22 0.33のコンデンサも
もう一回買ってきて試したいと思います。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re^11: AC100VでLED点灯 コメント数:  8件
  Nonta 2003/03/10 (月) 21:47
エルモさん,データから見て,0.22μと0.33μは1桁小さい
0.022μと0.033μのようですね.
コンデンサを大きくして電流が減ることはありません.
あと,ちらつきを無くすには,整流平滑回路が必要です.
最初から,整流平滑回路を入れようかとも思ったんですが,
コンデンサでドロップさせた場合の整流回路は,すこし専門的になり,
回路図が複雑になるため,アスキーアートでは書けないので
止めただけで,他意はありません.
LEDのデータと使用個数(4〜7個?)を教えてくれれば,
回路図をメールで送ってもかまいませんし,今月号のトラ技は
ビギナーズ特集でLEDも載っていますからそれにかこつけて,
前振りカキコしてくれれば,下記BBSにUPしてもかまいません.
http://rdoginza.hp.infoseek.co.jp/cgi-bin/imgboard.cgi
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re^10: AC100VでLED点灯 コメント数:  9件
  エルモ 2003/03/10 (月) 16:43
nontaさん軽石さんこんいちは。
さっそく実験してみました。
抵抗1kΩ1w酸銀+0.047、0.1、0.22,0.33μ)
0.047の時の抵抗間電1.395v(交流レンジ)
0.1μ時 2.96v(2.96mA) 0.22μ時 0.67v(0.67mA) 0.33μ時  0.95v(0.95mA)
フィルムコンデンサは0.1μと0.047μは青いもので104,473と表示してあります。
もう二つは灰色の小型のもので400v耐圧表示がされています。
0.1μ時が一番明るかったです。なんとなくI=0.63fcの計算値を桁がずれた
ような感じになってしまいます。0.1μが一番明るいのもおかしいでしょうか?

ledの定格近くの電流値(20mA)まで増やすためにはコンデンサの値を
上げていくのが良いでしょうか?(現在手元にはこれ以上容量のあるコンデンサがありません)

また、すこしちらついて見えるのは周波数(50Hz)のためでしょうか?

申し訳ございませんが、もう少しお教え願います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 赤外線AVカプラ、のようなもの コメント数:  0件
  ぽりたん 2003/03/10 (月) 03:18
ぽりたんといいます。はじめまして。
市販されている赤外線AVカプラのような物(実際はオーディオ信号は不要で、
ビデオ信号だけ伝送できればいい)を作ってみたいのですが、実際に作った方の
サイトなど参考になる資料をご存知でしたらお教えいただけないでしょうか。
一応、三洋が専用ICを出していることは知っていますが、汎用部品で作りたいと
思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: JTAGピンの処理 コメント数:  0件
  なひたふ 2003/03/09 (日) 01:48
> CPLD側のJTAGピンはプルアップやプルダウンをし、電位の固定をしたほうが良いのでしょうか?

プルアップかプルダウンしておきましょう。
クロックの周波数が高かったりすると、ノイズが入ってしまいます。

> また、バッファICでのハイインピーダンスと開放は同じ意味でしょうか?
バッファICでのハイインピーダンス ≪ 開放です。
ハイインピーダンスというのは電気的に作り出しているわけで、

私がいま触っているSDRAMでは、1.5μAのリーク電流があります。
FPGAやメモリでは最大±10μA程度。
某社の74HC125では最大±0.5μA、(@Vcc=6V,25℃)で
温度と共に増加傾向です。

換算すると、インピーダンスは300kΩ〜12MΩくらいの範囲です。
これはロジック回路では問題ない範囲ですが、
アナログ的な使い方をするときには要注意です。

一方、アナログスイッチのつくるハイインピーダンスは
1GΩくらいあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 JTAGピンの処理 コメント数:  1件
  田中アン 2003/03/09 (日) 01:17
単純にピンヘッダでJTAGの有効/無効を切り替えようと思いますが、JTAGが無効(パラレルポートから切り離されている状態)の時、
CPLD側のJTAGピンはプルアップやプルダウンをし、電位の固定をしたほうが良いのでしょうか?
それとも、開放した状態で良いのでしょうか。

また、バッファICでのハイインピーダンスと開放は同じ意味でしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^3: AC100VでLED点灯 コメント数:  0件
  ROMですが 2003/03/08 (土) 14:05
> ふと考えなおしてみたら、ACだと点灯しない期間があるから効率が悪くなってしまいますね。
>
> 明るくする事を考えると半整流回路を通さないといかんですね。

今更ですが横から失礼します。

たとえ効率が悪くて構わなくても、LEDは逆耐圧が5V前後しかないので
電流制限抵抗を入れただけではだめなんです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re^11: AC100VでLED点灯 コメント数:  0件
  軽石 2003/03/08 (土) 12:43
> nontaさん、最後までいろいろありがとうございました。
>
> さっそく来週にでも部品を買ってチャレンジしてみたいとおもいます。

成功または失敗(こうならない事を祈っています)の結果が出た所で報告していただけるとありがたいです。

アドバイスする人は感謝の言葉よりも結果の報告を聞く事がうれしかったりします。(私だけか?)

100Vは危険なのでくれぐれも事故のないように注意してください。
最悪ブレーカが落ちますので100Vの実験を行うときにはPCはOFFにしておきましょう。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^10: AC100VでLED点灯 コメント数:  1件
  エルモ 2003/03/08 (土) 01:54
nontaさん、最後までいろいろありがとうございました。

さっそく来週にでも部品を買ってチャレンジしてみたいとおもいます。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re: 泣き虫 スイッチング電源 コメント数:  0件
  Nonta 2003/03/07 (金) 22:49
> 後、やはりしばらくするとかなり熱がでます。まだ負荷(ビデオの回路)方は調べていません
熱くなると言うことは,保護回路が動作している可能性もあります.
コレクタのスイッチング波形を見れば,一目瞭然ですが,そのまま
オシロを接続すると,ブレーカが落ちたり,オシロが壊れますから,
こういう絶縁トランスを使用する必要があります.
http://www.toyozumi.co.jp/products/tz11/tz11.htm

保護回路が,間欠的に動作すると音が聞こえるのは当然です.
トランスの磁束密度が変動しますから,磁歪で唸るわけです.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 泣き虫 スイッチング電源 コメント数:  1件
  瓦 2003/03/07 (金) 21:47
Nonta さん なひたふ さん どうも有難うございます。
トランジスタはhFEランクも合わせたんでしょうか?
C4507と書いてありました。それを電子部品店にもつて在庫確認してもらつたところ
店員さんがおなじ、番号の物を出してくれたのでhFEランクもたぶん同じだと思います。
後、やはりしばらくするとかなり熱がでます。まだ負荷(ビデオの回路)方は調べていません
出来る範囲で勉強しながら調べていきたいと思います。電源をOFFの場合とONにした場合
ONにするとかなり音が高くなります。Nonta さん 添え付けファイルありがとうございます。
かなり難しそうですね。 あんびん さん なるほど 感電しないよ慎重にやつてみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re^9: AC100VでLED点灯 コメント数:  12件
  Nonta 2003/03/07 (金) 21:37
> コンデンサ自体の周波数特性を使って、コンデンサ自体を抵抗にするという
> 認識であっていますでしょうか?
そのとおりです.
> Z=1/2πfc
Z=1/j2πfc
です.「1/j」は電流に対し,電圧が90°遅れることを意味します.
ですから,「ピタゴラスの定理」が出てきます.
> この計算で50Hz、0.22μでおおよそ1430Ωの抵抗値が得られる。
> これに酸銀抵抗分(1W)430〜1KΩを足したのが全体の抵抗値。
> このような考えでよろしいですか?
単純に足すのではなく,ベクトル加算です.
計算が違っているようですが,|Z|=14.5kΩでしょう.
抵抗は1/10以下で,コンデンサの誤差が±10%ですから,足さなくても大丈夫です.
> そういうことは。抵抗とコンデンサは直列接続でしょうか?
> ACIN1から抵抗コンデンサ直列接続、その後に逆並列接続のLED、その後に
> ACIN2へと配線。
そのとおりです.
コンデンサを何種類か用意し,電流を測定して決めたら井伊でしょう.
0.047μF,0.1μF,0.22μF,0.33μFを購入して,1個使用,並列に複数使用で
多分電流は調整できるはずです.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^3: スイッチング電源 コメント数:  0件
  あんびん 2003/03/07 (金) 21:32
どの部品が鳴っているかを調べるのは聴診器のようなものがあれば良いのですが、細いサインペンのようなもの(もちろんキャップをしてプラスチック製の絶縁物が良い)で鳴っている部品に当てて、片側を耳の穴に押し込むと良く分かります。
但し、高電圧を扱っている場合は危険なのであまりお勧めしません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^8: AC100VでLED点灯 コメント数:  13件
  エルモ 2003/03/07 (金) 17:47
NONTAさんこんにちは。
ご回答ありがとうございます。

まとめます・・・
ピタゴラスの定理をいろいろ調べてみました。
コンデンサ自体の周波数特性を使って、コンデンサ自体を抵抗にするという
認識であっていますでしょうか?
Z=1/2πfc
この計算で50Hz、0.22μでおおよそ1430Ωの抵抗値が得られる。
これに酸銀抵抗分(1W)430〜1KΩを足したのが全体の抵抗値。
このような考えでよろしいですか?

そういうことは。抵抗とコンデンサは直列接続でしょうか?
ACIN1から抵抗コンデンサ直列接続、その後に逆並列接続のLED、その後に
ACIN2へと配線。

こんな感じになりますか?
なんども質問してしまいすみません。
よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^2: NAXJPについて コメント数:  2件
  田中アン 2003/03/07 (金) 00:20
> > NAXJPの標準では
> > D1-TDI
> > D2-TCK
> > D3-TMS
> > SLCT-TDO
> > のピンを使用していますが、書き換えをしないときはCPLDのすべてのJTAGピンと、パラレルポートは
> > 切断しておかないとマズいのでしょうか?
> いえ。パラレルポートにアクセスしなければ大丈夫です。
>
> > たとえば、TDOだけスイッチで切断しておき、ほかのJTAGはパラレルポートと接続しておく等は可能ですか?
>
> JTAGポートを使用しないときは、
> TDOを切っておいてもだめです。
>
> JTAGポートに変な信号が入ると、
> CPLDがリセット(すべてのレジスタが初期化)されることがあります。
> 原理的にはJTAGの3本の入力のどれか1つを固定しておけば、
> JTAGが悪さをすることはないように思われがちですが、
> 実際にはかなり低い確率ですが、
> 誤動作を引き起こすことがあるようです。
>
> JTAGを使用しない時には、すべてのJTAGピンに何も信号が入らないように
> しておいてください。

分かりました。ユニバーサルプログラマのようにHC125か、ジャンパで切断したいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re: NAXJPについて コメント数:  3件
  なひたふ 2003/03/06 (木) 23:53
> NAXJPの標準では
> D1-TDI
> D2-TCK
> D3-TMS
> SLCT-TDO
> のピンを使用していますが、書き換えをしないときはCPLDのすべてのJTAGピンと、パラレルポートは
> 切断しておかないとマズいのでしょうか?
いえ。パラレルポートにアクセスしなければ大丈夫です。

> たとえば、TDOだけスイッチで切断しておき、ほかのJTAGはパラレルポートと接続しておく等は可能ですか?

JTAGポートを使用しないときは、
TDOを切っておいてもだめです。

JTAGポートに変な信号が入ると、
CPLDがリセット(すべてのレジスタが初期化)されることがあります。
原理的にはJTAGの3本の入力のどれか1つを固定しておけば、
JTAGが悪さをすることはないように思われがちですが、
実際にはかなり低い確率ですが、
誤動作を引き起こすことがあるようです。

JTAGを使用しない時には、すべてのJTAGピンに何も信号が入らないように
しておいてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^2: スイッチング電源 コメント数:  1件
  なひたふ 2003/03/06 (木) 23:48
> > そのトランジスターからどうも音がでています。コイルやトランスからの音
> > では無いように思われます。
> トランジスタは発音部分がないので,音はしないはずです.

もし、トランジスタが鳴るとすると、
急激な発熱によって膨張と収縮を
繰り返している可能性はないでしょうか?
トランジスタは熱くなってませんか?

ほか、コンデンサが鳴いている可能性もありませんか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re: スイッチング電源 コメント数:  2件
  Nonta 2003/03/06 (木) 23:06
> 僕の説明不足でした。ビデオのスイッチング電源です。
というと,多分回路形式はRCCですね.

> そのトランジスターからどうも音がでています。コイルやトランスからの音
> では無いように思われます。
トランジスタは発音部分がないので,音はしないはずです.
同じ規格という話ですが,hFEランクも合わせたんでしょうか?
RCCは発振周波数が,負荷が重くなると下がりますから,ところで,
負荷(ビデオの回路)は正常でしょうか?そちらに原因があって
負荷が重くなりすぎていると言うことは無いでしょうか?
つまり,周波数が耳に聞こえるほど下がっていると言うことですが.

< 後。素人なので済みませんが負饋還ループの安定度の意味が良く分かりません
< 宜しければ教えて下さい。お願いします。
これは,一言では説明できません.これを見てください.
http://www1.odn.ne.jp/~aaa27820/misc/PDFs/control_main.zip
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 スイッチング電源 コメント数:  3件
  瓦 2003/03/06 (木) 21:36
Nonta さん 返答ありがとうございます。
僕の説明不足でした。ビデオのスイッチング電源です。
最初電源が入らなかつたので、色々調べたところ、トランジスターが死んでいるのにきずき同じ規格のものと変えた所電源は生き返えったのですが
そのトランジスターからどうも音がでています。コイルやトランスからの音
では無いように思われます。後。素人なので済みませんが負饋還ループの安定度の意味が良く分かりません宜しければ教えて下さい。お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.