なひたふ新聞読者の声 |
なひたふ新聞に載っている回路についての疑問や、電子回路のついて の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。 |
日付順表示|スレッド表示|ホームページへ戻る|ヘルプ |
100 | Re^3: USBマイコンもいよいよ | コメント数: 3件 |
とよぞう | PXW07530@nifty.com | 2001/01/07 (日) 03:07 | |
http://www.morphyplanning.co.jp/ | ||
> 趣味なら、やっぱ汎用USB・I/Fと汎用CPUの組み合わせかな? > ライタだけでもなんとかなるかな? EZ-FXの良いところは、USB経由でファームをダウンロード出来るところです。 そんでもってポートもバスも出てるし、96MB/SのFIFO能力があるし、ひじょーに面白いものが出来そうな気がします。 汎用で攻めるとすると、AKI-H8用USBインタフェース+USB経由プログラム書き込み用のなにか…があれば良いでしょうね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
99 | Re^2: USBマイコンもいよいよ | コメント数: 4件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/07 (日) 01:29 | |
>う〜ん、微妙な値段ですね(^^;)。一個2000円の石をマウスに使えるかどか?。 そりゃ、無理! もっと、自由度の大きいH/Wを考えていたのですよ。(^^)v I2CやSCIやRS232...などを自由に切り替えられるかな?と。 > 私はUSB2.0対応のサイプレスEZ-FX2待ちであります。こいつは8051内蔵なんで、 > フリーのCコンパイラとか有ったりしますし。これにMorphyOneで余る電源制御用の石を組み合わせると、USB接続でも単体でも動くマイコン環境が。 そうなんでしすよね。8051だといろいろできますね。 でもICの入手性やデバック環境などを考えて、ふんぎりが付かないのですよ。 趣味なら、やっぱ汎用USB・I/Fと汎用CPUの組み合わせかな? ライタだけでもなんとかなるかな? では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
98 | 今年もよろしくお願いします | コメント数: 3件 |
ももせ | hirofumi@momose.com | 2001/01/07 (日) 00:03 | |
http://www.momose.com/hirofumi/ | ||
ももせです。本日は初半田付け?です。秋葉で部品買い&神田明神参りをしてきました。 今年もよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
97 | Re^3: ccdカメラについて | コメント数: 0件 |
なひたふ | 2001/01/06 (土) 19:01 | |
>
結構難しい物なのですね。簡単に作れるものかと思っていました。 ビデオ関係は、アナログとデジタルが混在する場所があるので、少し難しいかと思いますが、ちゃんと動いたときの感動はとても大きいです。テレビに画像が映る感動はものすごいですよ。 > 気軽に書き込んでしまってすいませんでした。 いえいえ、そんなことはありません。どんなことでも御気軽に書きこんでください。 > このような文献をとりあえず探してみます。 個人的な感想では、トラ技の2000年12月号はあまり役にたたなそうです。ALTERAの載った巨大な評価ボードを使っていたりしますが、ちょっと実験するにはコストが高すぎます。 第2章の同期分離やクロック発生は結構いい記事だとおもいます。それから、昔のトラ技にアクセスできるのでしたら、過去に遡るほどトラ技の記事はよい物が載っていると思います。特にビデオ系や、アクティブフィルタ関係の記事では昔の方がちゃんと書いてくれていました。 ビデオ関連ではトラ技スペシャルも結構よい参考書になると思います。「NHKテレビジョン技術教科書」というのは原理から詳しく解説してくれる良書だと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
96 | Re: 窓関数について | コメント数: 0件 |
なひたふ | 2001/01/06 (土) 18:51 | |
返事が遅くなって申し訳ありません > 窓関数(方形窓、ハニング窓、ハミング窓、ブラックマン窓)の周波数特性を求め、図示したいのですが、うまく図示することが出来ません。 例えば一番簡単な方形窓ですと、その周波数特性はsin(x)/xです。そのほかの窓に関する周波数特性の式は「デジタル信号処理」関連の書籍に記載されていると思います。いま、手元に「デジタル信号処理の基礎」という本がありますが、式は載っておらず、周波数特性のグラフしかありませんでした。 それとも、周波数特性を求めるところまではできていて、それを図示する方法がわからないので適当なグラフ描画ツールを探している状況なのでしょうか。 それなら私はGNUPLOTをお奨めします。GNUPLOTはGNUなのでフリーですので、だれでもお譲りできます。 使い方は、例えば方形窓でしたら、 plot sin(x)/x と入力するだけで描画してくれるのでとても簡単です。他にもいくつかのコマンドを覚えるだけで十分実用的なグラフを書いてくれます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
95 | ありがとうございます。 | コメント数: 0件 |
季衣 | chrysant@aa.alles.or.jp | 2001/01/06 (土) 16:33 | |
> もう少し正確に言えば、CやRを使った回路網でも、それぞれのパラメータをある比に設定すれば、全体として周波数特性を持たなくすることができるという有名な話があります。それを使っていたような気がします。 どうもありがとうございました。 入力する部分のほかにも、回路のいろんな部分でつかえそうですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
94 | Re: USBマイコンもいよいよ | コメント数: 5件 |
とよぞう | PXW07530@nifty.ne.jp | 2001/01/06 (土) 16:10 | |
> いま三洋のUSBフラッシュマイコンに注目しているのです。 > 特にUSB経由で、F/Wを書き換えることが出来る点です。 う〜ん、微妙な値段ですね(^^;)。一個2000円の石をマウスに使えるかどうか?。 私はUSB2.0対応のサイプレスEZ-FX2待ちであります。こいつは8051内蔵なんで、 フリーのCコンパイラとか有ったりしますし。これにMorphyOneで余る電源制御用の石を組み合わせると、USB接続でも単体でも動くマイコン環境が。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
93 | USBマイコンもいよいよ | コメント数: 6件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/06 (土) 12:31 | |
IKEです。 いま三洋のUSBフラッシュマイコンに注目しているのです。 特にUSB経由で、F/Wを書き換えることが出来る点です。 でも、まだPDFも出来ていないし、生産は2001年 第3四半期と なっているし..... でも夢が広がりますよね。 LC87F5316A LC87F5664A http://www.semic.sanyo.co.jp/news/release/2000/000928usb.htm では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
92 | Re: 謹賀新年 | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/06 (土) 12:05 | |
IKEです。 今年もよろしくお願いします。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
91 | 回答ありがとうごさいます。 | コメント数: 0件 |
NAM | 2001/01/06 (土) 10:44 | |
>というわけでCY7C63101Aを自分で買って開発、というのは相当敷居が高いです。 そうですか、あきらめます。 先日、「ファミコンのパッドをPCのゲームポートに繋ぐ」という ページを見て、素人ながら頑張って作ってみました。 仲間内で結構好評だったのですが、ノートPCユーザーが大半を占めるので、 USBに出来ないものか、というのがきっかけでした。 ばらしたジョイパッドを繋いだままCY7C63101Aの足同士をショートさせて、 「こことここをショートさせればAボタンか・・」 という感じで配線を調べたので、ローテクですがパッド同士の配線で やってみようと思います。 ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
90 | Re: USBパッドをつくりたいのですが、 | コメント数: 1件 |
とよぞう | PXW07530@nifty.com | 2001/01/06 (土) 10:12 | |
http://www.morphyplanning.co.jp/ | ||
> 中には、「CY7C63101A」と書いたICがのっていました。 > サイプレス社という所が作っているようなのですが、 > 秋葉原のパーツ屋さんで普通に買えるものなんでしょうか? 買えないです。日本では富士エレクトロニクスで280個単位になります。 www.allow.comでもし有ればラッキーでしょう。 > また、売っていたとしても、中に何か書きこみをしないと > 使えないものなのでしょうか?教えて下さい。 ワンタイムROMなマイコンなので、ライターで書き込む必要があります。 サイプレス製専用ライターはたぶん$99です。大手のライターならたぶん対応しています。 というわけでCY7C63101Aを自分で買って開発、というのは相当敷居が高いです。 http://usbsimm.home.att.net/ とか、 ハードだけで良いならぼくとこのUSB-IOが適当だと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
89 | USBパッドをつくりたいのですが、 | コメント数: 2件 |
NAM | 2001/01/06 (土) 02:17 | |
はじめましてNAMといいます。おみしりおきを・・ 先日、USBのジョイパッドを自作しようと思い、 適当なUSBパッドを買ってきて分解したところ、 中には、「CY7C63101A」と書いたICがのっていました。 サイプレス社という所が作っているようなのですが、 秋葉原のパーツ屋さんで普通に買えるものなんでしょうか? また、売っていたとしても、中に何か書きこみをしないと 使えないものなのでしょうか?教えて下さい。 素人な質問でごめんなさい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
88 | Re^2: ccdカメラについて | コメント数: 1件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2001/01/06 (土) 00:07 | |
>
こんにちは。ここ2、3日風邪で伏せっておりました。 私は正月のみ過ぎてやっとこパソコンにさわっています。 遅くなりすいませんでした。 > つまりは、CCDカメラの出力を取りこむということは、ビデオキャプチャーということですね。画像がカラーかモノクロかで回路の規模と複雑さは大きくかわります。 > カラーの場合は、とりあえず構成は以下のようになります。 > CCDカメラ→ 同期分離 → Y/C分離 → ADコンバータ → メモリ > です。同期分離やY/C分離は便利なワンチップICが発売されているので、それを使うのがよいかとおもいます。メモリは若松に、ビデオの画面を蓄積するためのメモリが売られていました。ADコンバータは、千石に8ビットで高速なADが売っています。 > 基本的にはこれらを組み合わせればよいのですが、ADコンバータの出力は、ビデオ信号がカラーの場合は、8bit27MHzくらいの転送速度になるので、マイコンを間にはさむのは難しいかと思います。 結構難しい物なのですね。簡単に作れるものかと思っていました。 気軽に書き込んでしまってすいませんでした。 このような文献をとりあえず探してみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
87 | Re^2: 抵抗ってフィルターなの〜 | コメント数: 1件 |
なひたふ | 2001/01/04 (木) 22:07 | |
そういえば、オシロスコープを作りたいのでしょうか。 それならば市販のオシロのx10プローブの回路が参考になるかとおもいます。 x10プローブでも、抵抗とコンデンサによってフィルターが形成されてしまいますが、ローパスフィルタと平行にハイパスフィルタをわざとつくっているそうです。そのため、全周波数領域でフラットに10分の1減衰ができるらしいです。 もう少し正確に言えば、CやRを使った回路網でも、それぞれのパラメータをある比に設定すれば、全体として周波数特性を持たなくすることができるという有名な話があります。それを使っていたような気がします。 なお、x10プローブは良く見るとトリマーが出ていて調整できるものもあります。 その辺の詳しい話は、トラ技スペシャルの「高速ディジタル回路の測定とトラブル解析」とかいう号のコラムあたりに載っていた気がします |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
86 | Re: 抵抗ってフィルターなの〜 | コメント数: 2件 |
なひたふ | 2001/01/04 (木) 21:24 | |
こんにちは > ていうか、普通は、高周波回路ってあんまり高い抵抗は使わないですよね。 > それとも抵抗の種類によっては、容量が少ないんでしょうかね。 > そう言えば、チップ抵抗はまだ試してみてないです。 高周波なら、チップ抵抗にした方が断然よいですよ。 でも、1MΩと1pFでカットオフは160kHzですから、あまり意味がないですね。 > それとも、そもそも抵抗自体つかわないのでしょうか。 他には、コンデンサで電圧を分圧して測るのもあります。 某会社に作らせた高周波電源は数MHzで100Vくらいの出力を出しますが、電圧モニタリング端子がついていてそこから100分の1された電圧が出力されていました。中身は1000pFと10pFを直列につないでいるだけです。オシロの入力容量に注意を払えば実用的な解決です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
85 | 抵抗ってフィルターなの〜 | コメント数: 3件 |
季衣 | chrysant@aa.alles.or.jp | 2001/01/04 (木) 20:17 | |
http://www.flab.ee.titech.ac.jp/ | ||
こんにちわ。 回路の電源電圧よりも高い数十Vぐらいの電圧を 抵抗などで分圧して、回路に入力したいと思っています。 入力抵抗は高いほうがいいのですが、 1MΩ抵抗2本をつなげて分圧すると、数十kHzぐらいから 減衰してしまいます。 本当は数MHzまで計りたいんですが....しくしく...。 ていうか、普通は、高周波回路ってあんまり高い抵抗は使わないですよね。 それとも抵抗の種類によっては、容量が少ないんでしょうかね。 そう言えば、チップ抵抗はまだ試してみてないです。 それとも、そもそも抵抗自体つかわないのでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
84 | 窓関数について | コメント数: 1件 |
ひな | 2001/01/03 (水) 00:01 | |
窓関数(方形窓、ハニング窓、ハミング窓、ブラックマン窓)の周波数特性を求め、図示したいのですが、うまく図示することが出来ません。 ご存じでしたら、教えてください。 お願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
83 | 謹賀新年 | コメント数: 1件 |
なひたふ | 2001/01/01 (月) 21:50 | |
新年あけましておめでとうございます。 昨年は皆様には大変お世話になりました。 今年こそは未完成品だった作品やコンテンツの完成をはじめ、 なにか一品、新たな芸術作品を創ろうと考えています。 それから、趣味系電子工作の支援サイトを目指して より一層の充実をはかっていきたいと思っています。 今年もよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
82 | Re^5: 可変抵抗器について | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/31 (日) 23:36 | |
ももせです > それから細かいことですが、金属系の半固定抵抗や可変抵抗に直流が流れるようにすると、湿気や腐食性のガスの多い環境では接点が電機分解を起こしたりします。どうしても直流をかけなければならないときには、・・・どっちをプラス側にするか忘れました。たしか、接点がプラス側だった気がしますが、ちょっとしらべておきます。 そうそう、これもあるんです。 真空管アンプなんかを作るときに、ボリュームがある場合はグリッドリーク対策 としてフィルムコンデンサを入れないと、すぐに”ガリオーム”になりますね。 電蝕の影響だとか。電蝕といえば、線路近隣の住宅の配管で地中に埋まっている ものは腐蝕が早いらしいですね。 > 半固定抵抗を目一杯まわすと過大電流が流れて壊れる回路は、私もよくつくりました。私の回路ではつまみを回すとトランジスタが破裂したりしました。 実験や、いい加減でいい回路はよくこうなりますね。ボリュームを左に回すと 電流が増えたり...。忘れた頃に再調整すると驚くことになります。トランジ スタが破裂すると、パッケージが高速に飛ぶことがあり要注意です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
81 | Re^4: 可変抵抗器について | コメント数: 1件 |
なひたふ | 2000/12/31 (日) 16:34 | |
>
広範囲でよいかもしれませんが、チョット動いただけで大幅にずれてしまいます。 > 振動なんかで動くかもしれませんし、可変抵抗は温度特性なんかが良くありません。 > そういうときは、固定抵抗をかまして、1Vプラマイ20%程度の範囲に押さえ込みます。 私もももせさんと同じ考えです。半固定抵抗は温度特性がよくないので、調節できる範囲はできるだけ小さくしたり、抵抗比で分割するモードで使用したりします。 あまり稼動範囲が小さいと、調整していても動いている気がしないのでちょっと焦ってしまいます。 それから細かいことですが、金属系の半固定抵抗や可変抵抗に直流が流れるようにすると、湿気や腐食性のガスの多い環境では接点が電機分解を起こしたりします。どうしても直流をかけなければならないときには、・・・どっちをプラス側にするか忘れました。たしか、接点がプラス側だった気がしますが、ちょっとしらべておきます。 半固定抵抗を目一杯まわすと過大電流が流れて壊れる回路は、私もよくつくりました。私の回路ではつまみを回すとトランジスタが破裂したりしました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
80 | Re^3: 可変抵抗器について | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2000/12/30 (土) 14:08 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 > 返信ありがとうございます。 > そうですか可変抵抗器を用いたほうが正確に > 値が設定できるのであれば普通の抵抗は用いない > 方が無難ですね。 > > ありがとうございました。 きちんとした設計であれば、可変抵抗器で可変出来る範囲を固定抵抗で決めます。 たとえば、1V付近で調整できればいいのに、0〜5Vに可変できればそれはそれで 広範囲でよいかもしれませんが、チョット動いただけで大幅にずれてしまいます。 振動なんかで動くかもしれませんし、可変抵抗は温度特性なんかが良くありません。 そういうときは、固定抵抗をかまして、1Vプラマイ20%程度の範囲に押さえ込みます。 また、抵抗値が小さくなると大電流で焼けるような回路の場合も、固定抵抗をくっつけて 抵抗が壊れないようにします。(実験でボリューム焼いたことありません?) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
79 | Re^2: 可変抵抗器について | コメント数: 3件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/30 (土) 12:57 | |
返信ありがとうございます。 そうですか可変抵抗器を用いたほうが正確に 値が設定できるのであれば普通の抵抗は用いない 方が無難ですね。 ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
78 | Re: 可変抵抗器について | コメント数: 4件 |
なひたふ | 2000/12/29 (金) 18:53 | |
普通の抵抗で調節するのは、とても難しいことです。 > 普通の抵抗を用いて可変抵抗のようにオペアンプへの > 入力を調整することは可能でしょうか? 誤差を何パーセントまで許容するかとの戦いになります。 もちろん、誤差0%は不可能です。 誤差を極限まで少なくするためには、使う抵抗の種類を工夫しなければなりません。 カーボン抵抗や金属皮膜抵抗はこの目的には使えません。 誤差の小さい抵抗を使っても、抵抗の値が温度によって変わってしまうようでは困りますので、温度係数の小さな抵抗を使うか、薄膜型集合抵抗を使います。 温度係数の小さな抵抗には、金属薄膜抵抗というのがありますが、高価です。 また、薄膜型集合抵抗は、複数の抵抗が同一のパッケージに作られているため、特性がそろっていて、かつ、温度係数もそろっています。抵抗値の絶対値は多少犠牲にしても、複数の抵抗値の比を重視して作られています。そのため、正確なゲインのアンプや、正確な電圧の発生など、抵抗値の比が必要な箇所では良く使われます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
77 | 可変抵抗器について | コメント数: 5件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/29 (金) 14:57 | |
こんにちは カズトヨ です ”部品の豆知識”⇒”半固定抵抗”の説明の5つ目の図のようにして オペアンプへの入力を調整していました。 最近その入力を1Vに固定するために可変抵抗ではなく 普通の抵抗を用いて実現しようとしているのですが うまくいきません。 普通の抵抗を用いて可変抵抗のようにオペアンプへの 入力を調整することは可能でしょうか? ご存知でしたら教えてください よろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
76 | Re^2: ccdカメラについて | コメント数: 0件 |
なひたふ | 2000/12/29 (金) 13:26 | |
ADコンバータ用のサンプリングクロックをどのようにつくるかということも重要です。受信側のクロックは、水晶発信器ではなく、PLL回路を使って作り出すのがよいといわれます。 クロックの周波数もいくつかの選択肢があります。 一つは、28.6MHzで、これはカラーを忠実に再現するためです。 ほかには、ピクセルを正方形にするために12.7MHzでサンプリングする場合もあります。また、次世代のビデオ規格では13.5MHzと決まっています。 クロックの造り方にもいくつかの方法があります。一つは、水平同期信号をPLLで910逓倍して、14.31818MHzを作る方法があります。ほかには、カラーバースト信号に同期したクロックを作り出す方法もあります。 なお、若松で売っているメモリICは、アドレス線などがなく、まさにビデオ用のFIFOだそうです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
75 | Re: ccdカメラについて | コメント数: 3件 |
なひたふ | 2000/12/29 (金) 13:19 | |
こんにちは。ここ2、3日風邪で伏せっておりました。 > 秋月などで売っているCCD(完成品カメラユニットなど)からやりたいと思っているのですが > > CCDカメラ -> マイコン -> メモリ or 記憶装置 つまりは、CCDカメラの出力を取りこむということは、ビデオキャプチャーということですね。画像がカラーかモノクロかで回路の規模と複雑さは大きくかわります。 カラーの場合は、とりあえず構成は以下のようになります。 CCDカメラ→ 同期分離 → Y/C分離 → ADコンバータ → メモリ です。同期分離やY/C分離は便利なワンチップICが発売されているので、それを使うのがよいかとおもいます。メモリは若松に、ビデオの画面を蓄積するためのメモリが売られていました。ADコンバータは、千石に8ビットで高速なADが売っています。 基本的にはこれらを組み合わせればよいのですが、ADコンバータの出力は、ビデオ信号がカラーの場合は、8bit27MHzくらいの転送速度になるので、マイコンを間にはさむのは難しいかと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
74 | Re^3: XILINX環境で、パッケージをシミュレーションだけ使うようにするには? | コメント数: 0件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/29 (金) 11:23 | |
http://come.to/fpga | ||
↑であります。 > そういう掲示板があるのですか。知らなかった。どこにあるのですか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
73 | Re^2: XILINX環境で、パッケージをシミュレーションだけ使うようにするには? | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2000/12/28 (木) 15:24 | |
http://www.momose.com/hirofumi/ | ||
パッケージを作り、ModelSIM用にコンパイルし、その後ソースツリーから消してしまう という手でなんとかなりました。(なんか疑問は残るのですが) CoolRunner(XCR3032A)のマクロセル全部使ってしまいました。基板をPcbexpressに 出した後だったので危なかった。32マクロセルはちと少ないですね。 > やはり↑、FPGAインフォメーションのFPGA掲示板ではないでしょうか(^^;)。 > あとFPGA関連MLでも高度な話題が交わされてます。(私はついていけません(笑)) そういう掲示板があるのですか。知らなかった。どこにあるのですか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
72 | Re: XILINX環境で、パッケージをシミュレーションだけ使うようにするには? | コメント数: 2件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/28 (木) 12:16 | |
http://come.to/fpga | ||
> 要するに、このパッケージはシミュレート時にだけ見てほしいのです。何か > うまい手はないでしょうか。 > (テストVHDLに全部入れてしまう、という手はありますが) やはり↑、FPGAインフォメーションのFPGA掲示板ではないでしょうか(^^;)。 あとFPGA関連MLでも高度な話題が交わされてます。(私はついていけません(笑)) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
71 | ccdカメラについて | コメント数: 4件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/28 (木) 10:47 | |
みなさん
こんにちは CCDカメラについてお聞きしたい事があります。 CCDカメラの映像をメモリか何かに保存をするような回路を作りたいと思うのですが 何か方法をご指導下さい。 秋月などで売っているCCD(完成品カメラユニットなど)からやりたいと思っているのですが CCDカメラ -> マイコン -> メモリ or 記憶装置 パソコンなどは使わずに行いたいと思います。 記憶した物からの読み出しでパソコンを使いたいと思うのですが。 このような物が分かりましたらご指導下さい。 よろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
70 | XILINX環境で、パッケージをシミュレーションだけ使うようにするには? | コメント数: 3件 |
ももせ | hirofumi@momose.com | 2000/12/27 (水) 18:18 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 XILINX環境で、試験用のVHDLを書いてModelSIMで動作確認しているのですが、 ここでわからないことがありますのでご存じでしたら解決方法を教えてくだ さい。ターゲットは基本的にはメモリマップドIOでして、試験用VHDLはホスト CPU側としてターゲットをメモリアクセスします。 ここでタイミング云々を含めたメモリR/W関係をパッケージとして外に出して いるのですが、こうするとModelSIMではうまく働きますが、デバイスにFit するときもパッケージを見られちゃうため、afterとか時間に関することを使 うな、と怒られます。 要するに、このパッケージはシミュレート時にだけ見てほしいのです。何か うまい手はないでしょうか。 (テストVHDLに全部入れてしまう、という手はありますが) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
69 | Re^5: 基板を「焼く」 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/27 (水) 11:45 | |
http://www.momose.com/hirofumi/ | ||
スプレーする際は遠いところから吹きかけ、様子を見つつ接近します。 最初はスプレーの粒子が基板上に粉のようにつく程度で、決して液状 にはなりません。この状態でまんべんなく吹きかけてゆきます。 で、だんだんと粉の間隔が緊密になってゆき、膜になります。 いったん膜上になると液体としての表面張力で平滑?され、テカテカ してきます。このまま台紙ごと(最初から基板の下に台紙など基板よ り大きい紙をおいておく:基板を触らないため))室内にもってゆき ドライヤーで乾燥します。上記のようにすると、相当きれいに塗布で きますが、噴射距離が長いためスプレーの大半はロスします。ドライヤ ーで熱してもなかなか最終的な硬度にはならないようです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
68 | Re^4: 基板を「焼く」 | コメント数: 1件 |
なひたふ | 2000/12/27 (水) 00:19 | |
> > スプレータイプも、まだ修行が足りないらしく均一に塗れなくて > > 四苦八苦しているもよう。 そうそう、均一に塗るのってむずかしいですよね。 あの緑のスプレーは2度塗りが励行されていますが、これもなかなか難しい。基板を水平に置かないと、塗った液が偏ってしまうし、思う存分スプレーできるようにと屋外でスプレーすると平らな場所はあまりない。 薄すぎると安物に見えるし、濃すぎても汚い。おまけに指紋までついてしまうと最悪になってしまいます。そういうわけで、私は、フラックスを筆で塗るほうに戻ってしまいました。修行せねば・・・ スプレーをムラなく塗れる人って尊敬してしまいます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
67 | Re^3: 基板を「焼く」 | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2000/12/26 (火) 22:36 | |
http://www.momose.com/hirofumi/ | ||
> スプレータイプも、まだ修行が足りないらしく均一に塗れなくて > 四苦八苦しているもよう。 > こんなこっちゃ、職人にはなれんぜよ。 スプレーの極意は、とにかく基板から離して塗布することです。 30センチ以上は離します。100x150mmなどの一般的なサイズの基板では 大半が無駄になってしまいますが、むら無く塗れます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
66 | Re^2: 基板を「焼く」 | コメント数: 3件 |
JUN猫 | 2000/12/26 (火) 22:09 | |
スプレータイプも、まだ修行が足りないらしく均一に塗れなくて 四苦八苦しているもよう。 こんなこっちゃ、職人にはなれんぜよ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
65 | 自己レス(笑) | コメント数: 0件 |
JUN猫 | 2000/12/26 (火) 21:59 | |
ちなみに、直火はヤバイかもにゃ。 20cmっくらいは放したほうが良いでせう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
64 | Re^7: PLCC 取り外しキット | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2000/12/26 (火) 14:21 | |
>
これマジですか? でもいいかもしれない。大体、何個ぐらい144ピンデバイス > 外せるんだろうか。 はじめまして。 タイトルのPLCC取り外しキット使ってみました。でも、残念ながら 使用したのは144ピンのPLCC用ソケットでした。(PLCCより手ごわい??) 作業は 説明のHPに有ったのと同じくらい簡単でした。 コツは、2本の半田ごてで掃く ように4辺を均一に加熱することくらいです。 何個くらい取れるかというと、残念ながら全長を計っていなかった(もろくて伸ば そうとすると折れてしまうため)ので正確ではありませんが、専用のペースト消費量 から推察して最低でも10個くらいは取れそうです。 後は盛る量を慣れに従って 減らしていけばもっと出来そうです。 秘密の情報としては、QUICK CHIP本家のHPには無料サンプルの請求欄があって、 そこに書きこみすると、ちゃんと(英語ですが)返事が来まして、その後日本の代理 店から電話連絡が来ます。運良ければ本当に只でもらえます。 後注意事項は、専用ペーストの煙が結構きつくて、間違って吸うと咳が止まらず、 もろ目にもらうと涙が結構でます。(ちゃんと日本語の注意事項があります!!) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
63 | Re^5: JTAGコネクタピン配列 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/26 (火) 14:20 | |
http://www.momose.com/hirofumi/ | ||
>
今、WebPACKの最新のものをダウンロードして獅オています。結構変わったので戸惑いましたが、いろいろと便利になったみたいでグッドです。なによりコンパイルに要する條ヤが激減した気がします。 結構GOODになりましたね。ModelSIMをプロジェクトから起動できて 面倒なModelSIMプロジェクトの作成もしなくていいみたいなので、 便利です。早速、やっとのことで作ったロジックの検証をしてみよう。 #ただ、どのコンポーネントをダウンロードしていいかわかりにくい点 #と、容量がでかい(全部で100M越)は勘弁してほしいです。 #ま、会社でダウンロードしたのですが^^;あまり巨大なものをダウン #ロードすると、目を付けられるらしいので....危ない危ない。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
62 | Re: 基板を「焼く」 | コメント数: 4件 |
ももせ | hirofumi@momose.com | 2000/12/26 (火) 01:23 | |
http://www.momose.com/hirofumi/ | ||
> グリーンレジストした基板をコンロであぶると、なかなかいい感じに > なるような感じ。早く動かさないと焦げそうで怖い。(笑) > 前にあったオーブンで焼くをヒントにやってみたのじゃが、 > オーブン無くてもできるからいいかなと。 > 紙フェノールでしかやってないんだけど、良くない? やはり、グリーンレジストがあると見栄えがいいんですよね。それにつられて サンハヤトのフォトタイプを蛍光灯スタンドでやって大失敗でした。あれはライトボックス でないとうまくいかないみたいです。油性のベタベタを取るのが一苦労でした。基板もう だめかと思いましたよ。1枚ものならスプレータイプがいいかもしれませんね。数年前の 使い残しがまだあるはず。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
61 | 基板を「焼く」 | コメント数: 5件 |
JUN猫 | 2000/12/25 (月) 22:08 | |
グリーンレジストした基板をコンロであぶると、なかなかいい感じに なるような感じ。早く動かさないと焦げそうで怖い。(笑) 前にあったオーブンで焼くをヒントにやってみたのじゃが、 オーブン無くてもできるからいいかなと。 紙フェノールでしかやってないんだけど、良くない? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
60 | Re^4: JTAGコネクタピン配列 | コメント数: 1件 |
なひたふ | 2000/12/25 (月) 04:40 | |
皆さんもJTAGケーブルには苦労されているようですね。 私はプリンターポートを使うことが多いので、自作の基板にはよくJTAGケーブル相当の回路を載せてしまいます。25ピンのケーブルをいちいち抜き差ししなくていいので、結構便利ですよ。 今、WebPACKの最新のものをダウンロードして試しています。結構変わったので戸惑いましたが、いろいろと便利になったみたいでグッドです。なによりコンパイルに要する時間が激減した気がします。 今夜は徹夜で電光掲示板のバージョンのドライブ回路を作っています。昔はGALを使って作っていたのですが小回りが効かずに困っていました。今年からはXILINXの95144を使って電光掲示板制御です。本当に便利な時代になりました。 クリスマスの電飾用に改良しているのですが間に合うかな(^ ^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
59 | Re^3: JTAGコネクタピン配列 | コメント数: 2件 |
ぉゅぅ | u1lab@nifty.com | 2000/12/25 (月) 03:04 | |
http://www.geocities.co.jp/SiliconValley/7053/ | ||
>
JTAGケーブル作っているのですが、コネクタどうしようかと迷っていまして。 > とりあえず、バラせるように作ります。XilinxのWebページにある回路そのまま > をコピーって作ろうと思っています。コネクタハウジングの中に回路を作り込もうか > 外付けのBOXに入れるか...も迷うところです。 私もJTAGコネクタで悩みました.自分だけが使うなら適当に統一 しておけばいいんでしょうが,一般的ではないですからね. ただ,バラバラだと強度に不安を感じるのとじゃまくさいです. コネクタも割高になるので頭を痛めるところですね. プリンタコネクタから離して使用するようでしたら,ハウジング外の ほうが無難ではないでしょうか.デバイスとの線をあまり引き回すと 誤動作するかもしれませんし. もしくはハウジングに入れてプリンタケーブルで延長するとか. 当方、三代目ライタとしてチップ部品で作ってみました. ケースに入れずにホットボンドで固めてしまおうかと企んでいます.. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
58 | Re^2: JTAGコネクタピン配列 | コメント数: 3件 |
ももせ | hirofumi@momose.com | 2000/12/25 (月) 02:28 | |
http://www.momose.com/hirofumi/ | ||
> IKEです。 > JTAGには決まったコネクタ配列は無いようですね。 > 1列はXILINX、2列はALTERAですね。 > 面倒なので、どちらかに決めたい場合は > バラバラに出来るXILINX方式に我慢してもらって > ALTERA方式になるでしょうか? > では! なひたふさん、IKEさんどうも情報ありがとうございます。 JTAGケーブル作っているのですが、コネクタどうしようかと迷っていまして。 とりあえず、バラせるように作ります。XilinxのWebページにある回路そのまま をコピーって作ろうと思っています。コネクタハウジングの中に回路を作り込もうか 外付けのBOXに入れるか...も迷うところです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
57 | Re: JTAGコネクタピン配列 | コメント数: 4件 |
IKE | ikehata@land.hokuriku.ne.jp | 2000/12/25 (月) 00:26 | |
IKEです。 JTAGには決まったコネクタ配列は無いようですね。 1列はXILINX、2列はALTERAですね。 面倒なので、どちらかに決めたい場合は バラバラに出来るXILINX方式に我慢してもらって ALTERA方式になるでしょうか? では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
56 | Re: JTAGコネクタピン配列 | コメント数: 0件 |
なひたふ | 2000/12/24 (日) 20:01 | |
>
配列ってないのでしょうか?特に電源を両端に持ってくるデザインと、片側にまとめる > デザインが多いようですが、ケーブルを複数容易するのも面倒なので。 うーん。どうなんでしょう。統一された規格なるものは見たことがありません。各社・各自がおのおの社内規格をつくって自社製品に使っているのではないかとおもいます。 純正のJTAGダウンロードケーブルは、ケーブルの先が1本1本ほぐれるようになっていて、先っぽには1ピンのコネクタが6個ついています。そのため、コネクタがどんな順番でも、どんな形状でも使えるようになっています。 ちなみに、私の自作ケーブルも、先が一本一本ほぐれるように作っています。結構便利ですよ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
55 | Re^4: spartan II | コメント数: 0件 |
なひたふ | 2000/12/24 (日) 19:59 | |
> UCFファイルで、だいぶできるようになりましたよ。 > 私がよく使うのは、次のホームページの内容です。 > http://toolbox.xilinx.com/docsan/3_1ij/ (とても重いです) > Foundaiton のツール説明ですが、WebPack にも使える貴重な情報が、載っています。 たしかにとても重かったです。UCFファイルのマニュアルがあったのですね。参考になりました。Foundationも、もう3.1ですか・・・。 そういえば、私はまだ2世代も前のWebPACKを使っているし、そろそろ新しいのを使わなければいけませんね。SpaltanIIも試してみたいですし。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
54 | JTAGコネクタピン配列 | コメント数: 6件 |
ももせ | hirofumi@momose.com | 2000/12/24 (日) 01:58 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 JTAGコネクタのピン配列はボードによってまちまちのようですが、決まったコネクタ& 配列ってないのでしょうか?特に電源を両端に持ってくるデザインと、片側にまとめる デザインが多いようですが、ケーブルを複数容易するのも面倒なので。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
53 | Re^3: spartan II | コメント数: 1件 |
バサロ | basaro@yumenet.com | 2000/12/22 (金) 16:00 | |
http://member.nifty.ne.jp/fpga/ | ||
ヒューマンデータさんに教えてもらって、投稿しています。。。。 亀レスですいません。 > > 直感的にはucfファイルで記述するような気がします。Foundationが手元にあれば比較しながら試してみるのですが、ちょっとすぐにはわかりません。しばしお待ちください。 > なるほど.... UCFファイルで、だいぶできるようになりましたよ。 私がよく使うのは、次のホームページの内容です。 http://toolbox.xilinx.com/docsan/3_1ij/ (とても重いです) Foundaiton のツール説明ですが、WebPack にも使える貴重な情報が、載っています。 その中のLibraries Gaide の中に、UCFの詳しい書き方が載っています。 これなど参照してみてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
52 | Re^2: 0.1uF | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2000/12/22 (金) 14:04 | |
>
秋葉原ではほとんど手に入りません。携帯電話業界などが元凶だと聴いていますが、いったいいつになったら秋葉でも入荷できるようになるのでしょうか。極めて入手難です。 > 私もRSから小さいやつの500個巻きを買いました。新製品のパンフレットが送られてきて早速買い、おまけのドライバーセットももらいました。さすがのRSでもばら売りはしてくれません。 > そのときはRSから買うことができたのですが、やはり、RSから買えない方も大勢もいることですし、この状況が早く解決されることを待ち望んでいます。 > たしか3216は昔、千石で3000個を500円で買いましたので、それがまだ2000個ほど残っています。使いたい放題です。 もうちょっと遅いかもしれませんが、12/08に秋葉原ラジオストアー内の(株)シーアールで2012の0.1μ25V品を500個買いました。確か1個10円位だったと思います。 部品の供給については、H12/09頃には納期4ヶ月以上、新規受注禁止なんて言われていましたが、各メーカの過剰発注文が、来年早々にもだぶついてくるという噂もあります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
51 | Re^2: Foundationでの事前ピン配置 | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2000/12/22 (金) 13:49 | |
> こんばんわ > > > Foundationで、CPLDのピンを事前配置するにはどうすれば良いのでしょうか? > Foundation1.5の頃は「Tools->Implementation->Lock Pin device」を実行すればOKでした。現在の配置のとおりに自動でucfファイルを作ってくれます。それを参考にエディタでプチプチ直していきます。 > このucfの雛型にはWebPACKには載っていないようなことまで記述されています。 最初に、お礼が遅れたことをお詫びします。出張でインターネットが使えない環境にありましたもので。 丁寧な解説有難う御座いました。大変参考になりました。 因みに現在使用しているのは、Foundation3.1です。 今後とも宜しくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
50 | Re^12: オペアンプによる定電流回路について | コメント数: 0件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/21 (木) 12:48 | |
こんにちは カズトヨ です > これは非反転増幅器です。出力Voを分圧する抵抗RaとRbとすると(RbがGND側) > Vo=(Vin+)*(1+Ra/Rb) > になります。帰還回路のオペアンプはたやすく考えると、2つある入力の電位差 > がゼロになるよう出力を制御する、と考えられます。このあたりは教科書にあるか > と思います。もちろん、Vo>電源電圧の制約を受けます。 なるほど これは非反転増幅器だったんですね そのようにはみえせんでした(まだまだ未熟) それで1.8v以上では動作しないことがわかりました。 ありがとうございました。 またいきずまった時にはよろしくおねがいします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
49 | Re^4: 電子・電気の基礎の基礎について | コメント数: 0件 |
なひたふ | 2000/12/21 (木) 02:29 | |
回路を設計するときには、最初から計算尽くしで作るのではなく、最初は部品達を擬人化して考えるとよいかと思います。アナログ回路は部品定数を厳密に設定しなくてもそれなりの力関係で動いてくれます。回路を仕上げるときになって、最適化だとか、発振防止だとか、周波数特性改善などが必要なときに計算すれば足ります。世の中のオペアンプ回路でやたらと10kΩが出てくるのはみんな計算していない証拠です。 抵抗・コンデンサ・電圧・電流、これらの大きさは数値として把握するのではなく、大雑把なイメージで考えます。電流はよく水の流れなどに喩えられるかとおもいます。抵抗とダイオードが出てきたときの電圧は、肩車やぶらさがり鉄棒に似ています。 力関係が重要です。例えば、インピーダンスというのは、力の弱さです。力が弱いものと強いものがぶつかると弱いものはかき消されます。しかし、弱いものもわずかに残っています。 私に漫画を描く才能があれば、漫画にして解説できるのですが・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
48 | Re^3: 電子・電気の基礎の基礎について | コメント数: 1件 |
なひたふ | 2000/12/21 (木) 02:28 | |
> やっぱり計算ですか。 > これからというか今もいろいろと読み漁っているのですが、計算式が出てくると > 眠くなってしまう。 計算も大事だとは思いますが、それよりもアナログ回路では回路を擬人化して捉えると設計がぐっとやりやすくなるかと思います。 擬人化というのは、例えばももせさんの書きこみを引用するならば、 >それはオペアンプの出力が電源でサチッて居るのです。オペアンプ的にはもっと >高電圧を出力し、Vin+とVin-を等電位にしようとがんばるのですが、電源電圧が >低くて無理だーと言っているのです。 という感じです。「オペアンプが頑張る」という感覚がまさに回路設計に重要だと思います。 部品は頑張ったり、苦しんだりします。泣いたり笑ったりはしませんが・・・ 電圧は、地に足がつかなかったりします。 (つづく) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
47 | Re^11: オペアンプによる定電流回路について | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2000/12/20 (水) 17:50 | |
http://www.momose.com/hirofumi/ | ||
ももせ@年休です。 > こんにちは カズトヨ です > おかげさまで何とか動作させることができました。 > > ただ1.8V以上の入力をあたえると動作しなくなりました。 > もし原因がわかるようでしたら教えてください。 それはオペアンプの出力が電源でサチッて居るのです。オペアンプ的にはもっと 高電圧を出力し、Vin+とVin-を等電位にしようとがんばるのですが、電源電圧が 低くて無理だーと言っているのです。 > あとももせさんに教えていただいた部分でオペアンプに1Vを入力 > すると4Vの出力がでるということですがそのあたりがうまく > 理解できませんでしたのでそのあたりも教えていただけるとうれしいです。 これは非反転増幅器です。出力Voを分圧する抵抗RaとRbとすると(RbがGND側) Vo=(Vin+)*(1+Ra/Rb) になります。帰還回路のオペアンプはたやすく考えると、2つある入力の電位差 がゼロになるよう出力を制御する、と考えられます。このあたりは教科書にあるか と思います。もちろん、Vo>電源電圧の制約を受けます。 このように、2つの入力の差に意味を持つ回路を差動回路といい、オペアンプは それを増幅するので差動増幅器といいます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
46 | Re^2: pcbexpressでオーダする際の小径ビアサイズ | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/20 (水) 16:58 | |
http://www.momose.com/hirofumi/ | ||
>
pcbexpressですね。私は#4で線幅線間8mil/8mil、ビア径28mil、ドリル径14milでした。 > でも#1だと26milまでですね。 > expresspcbと変わらないしexpresspcbの方が安いですよ(^^;)。 > ※汎用ガーバーに拘るならpcbexpress > ※どっちがどっちだか大変ヤヤコシイのである 確かにややこしいぞ。今もURL打ち間違えた。 Express#1だと、最小トレース、クリアランスともに7mil、ドリルは20milです。 最小ビアは径32milあたりになるのでしょうね。割とでっかいなあ。 とりあえず、トレース&クリアランス8mil、ビア32milでオーダーしようかなぁと。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
45 | Re^10: オペアンプによる定電流回路について | コメント数: 2件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/20 (水) 14:38 | |
こんにちは カズトヨ です おかげさまで何とか動作させることができました。 ただ1.8V以上の入力をあたえると動作しなくなりました。 もし原因がわかるようでしたら教えてください。 条件はRに2kΩ サーミスタの変わりに最大3kΩの可変抵抗 を接続して教えていただいたオペアンプの回路を作成しました。 あとももせさんに教えていただいた部分でオペアンプに1Vを入力 すると4Vの出力がでるということですがそのあたりがうまく 理解できませんでしたのでそのあたりも教えていただけるとうれしいです。 なにぶん4月より回路を作ることを始めたところなので知識も乏しく 初歩的なことを尋ねていると思います。申し訳ありません。 でもこれで数週間とまっていた事が解決できました。 本当にありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
44 | Re^9: オペアンプによる定電流回路について | コメント数: 3件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/20 (水) 08:57 | |
ももせさんへ 詳細まで書いていただいて本当にありがとうございます 今日にでも作成してみて結果をご報告します。 返信してくださった皆様ありがとうございました |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
43 | Re: pcbexpressでオーダする際の小径ビアサイズ | コメント数: 1件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/20 (水) 01:54 | |
http://www.morphyplanning.co.jp/ | ||
>
pcbexpressのいちばん安いコース(Express#1)で基板を作ろうと思っていますが、 > 最小ビアってどのサイズを使われてます>>使用された方々? > よろしければ教えてください。 pcbexpressですね。私は#4で線幅線間8mil/8mil、ビア径28mil、ドリル径14milでした。 でも#1だと26milまでですね。 expresspcbと変わらないしexpresspcbの方が安いですよ(^^;)。 ※汎用ガーバーに拘るならpcbexpress ※どっちがどっちだか大変ヤヤコシイのである |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
42 | pcbexpressでオーダする際の小径ビアサイズ | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2000/12/20 (水) 00:33 | |
http://www.momose.com/hirofumi/ | ||
pcbexpressのいちばん安いコース(Express#1)で基板を作ろうと思っていますが、 最小ビアってどのサイズを使われてます>>使用された方々? よろしければ教えてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
41 | Re^3: オペアンプについて | コメント数: 0件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/19 (火) 17:10 | |
>
恐らくオシロのインピーダンス(1M/10M)でも十分な負荷になってしまい、オシロを > つなぐと正常動作するかもしれません。良くあることです。^^;) オシロで動いてしまいました。 > 単一電源でもホントにGNDぎりぎりで入力すると結構キツイことがあります。一応 > 同相入力範囲は電源電圧よりも0.1V程度狭めた方が安全です。(単一5Vなら、 > 0.1〜4.9Vを入力とする)。直流アンプでなくても良いなら、適当にバイアスして > 交流アンプにすると確実です。 皆さん色々とありがとうございます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
40 | Re^2: 電子・電気の基礎の基礎について | コメント数: 2件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/19 (火) 17:07 | |
> > 基礎の基礎はやっぱり計算?!ですかね > ずばり、そうだと思います。 > 私はトラ技を2年分じっくり腰を据えて読みました。かなり基礎は固められます。 > トラ技だけ読めばいいというものでもなく、トラ技->わからない事項->その事項関連な工学専門書を読み漁る->ついでに周辺基礎まで固めちゃう なサイクルができればしめたものだと思います。 > でも趣味でやっている分には、てきと〜にやっちゃって動けばOKでも、ぜんぜんOKですよ ;-) > #でもプロでも、計算しない設計ってもだいぶ多いのでは。 > #いまだにコンデンサ入力な電源装置が多くありますが、負荷に対して容量値が最適化されていないものが多く見られます > #そうすると力率悪くって、その補償をするために電力会社は苦労するってことになっちゃうってな状態だったり。知らないって罪です ^^; やっぱり計算ですか。 これからというか今もいろいろと読み漁っているのですが、計算式が出てくると 眠くなってしまう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
39 | Re^3: オペアンプについて | コメント数: 0件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/19 (火) 17:05 | |
> >
そのセンサーとはどのようなセンサーでしょうか。ピエゾ(圧電素子)でしょうか。 ピエゾ素子です。 > もし、振動センサとしてピエゾを使っているのでしたら、オペアンプの3番ピンとGNDの間に100kΩから1MΩの抵抗をいれてください。 > アンプ内蔵のセンサでしたら、その必要はありません。 回答ありがとうございました。 抵抗を入れたところ、1Vぐらいは出力されっぱなしですが、センサ入力に対して反応するようになりました。 そして倍率を変更する為に可変抵抗にして試してみたところ、抵抗値を低く (倍率を低くすると)出力電圧も比例して低くなってしまいます。 自分が思っている事は、倍率を低くしても、入力電圧より低くならないと思 うのですが、どうしてでしょうか? ※センサの入力が10Vぐらいあるのにオペアンプからは2.5Vぐらいしか出な くなってしまいます。 オペアンプの電源には5Vを使用しているので、4Vぐらいまでは 出力すると思うのですが? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
38 | Re^8: オペアンプによる定電流回路について | コメント数: 4件 |
ももせ | hirofumi@momose.com | 2000/12/19 (火) 16:34 | |
http://www.momose.com/hirofumi/ | ||
追加です。 サーミスタが3K、Rが1Kとします。入力に1V与えると電流は1mA流れ 、オペアンプの出力は4Vになりますよね。電源は5Vだと思います。 出力電圧はどうやっても電源電圧を超えられません。電流を増やすにはRを小 さくしますが、サーミスタはやっぱり3Kなので、どんなに頑張っても5V/3k 以上の電流は流せません。2.5mAを流すためには、電源電圧を上げるか、サーミスタ を小さくするしかありません。なひたふさんが書いたとおり、最低でも7.5Vの 電圧が必要になります。(実際はオペアンプの出力から電流を取ると電源電圧 フルスイングが難しいので、さらに電圧がほしくなります) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
37 | Re^7: オペアンプによる定電流回路について | コメント数: 5件 |
ももせ | hirofumi@momose.com | 2000/12/19 (火) 16:15 | |
http://www.momose.com/hirofumi/ | ||
> しかし現状では2電源にすることは不可能なので > 何か対策はないでしょうか? この回路はGNDからオペアンプがシンク(吸い込み)するので単電源では *絶対に*動作しませんね。(私も見落としてた;;;) 要は単電源でサーミスタに定電流が流せれば良いのですね。 1)定電流ダイオードを使う。オーバーオールのフィードバックがないので、 精度はオペアンプに劣りますが、2.5mAならすぐに出来ます。 2)オペアンプを使う VIN−−|+ | >−−[サーミスタ]−− −|− | −−−−−−−−−−−−−−−| R | GND こうすれば、サーミスタに流れる電流は VIN/Rになります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
36 | Re^6: オペアンプによる定電流回路について | コメント数: 6件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/19 (火) 13:48 | |
>
ですから、とりあえずの解決策としては、電源を2電源にすることと、R=2kΩの値を増やすことです。 こんにちは カズトヨ です 返信ありがとうございます 詳しい説明ありがとうございます R2の設定に関しては理解できました。 しかし現状では2電源にすることは不可能なので 何か対策はないでしょうか? もしありましたらよろしくお願いします 何度もありがとうございました |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
35 | Re^5: オペアンプによる定電流回路について | コメント数: 7件 |
なひたふ | 2000/12/19 (火) 13:04 | |
ご製作中の回路が正常に動作している場合は以下のように解釈できます。 1. 5VからRを通じてオペアンプの-入力端子に向かって電流が流れ込みます。 2. -入力端子はバーチャルショートによってGND電位になっています。 3. オペアンプの入力端子は電流を吸いこまないので、5V/R=2.5mAの電流はしかたなくオペアンプの出力端子へ向かって流れます。 4. その結果、オペアンプの出力端子はGND電位よりも2.5mA*R2だけ低くなります。つまりマイナスの電圧になるはずです。 出力がマイナスになるためには、電源にもプラスマイナス両電源を供給しなければなりません。 また、サーミスタの抵抗値が11kΩならば出力は-2.5mA*11kΩ=-27.5Vになりますので、電源電圧も30Vくらいはないと振り切れてしまいます。しかし普通のオペアンプは±30Vの電源には耐えられません。 ですから、とりあえずの解決策としては、電源を2電源にすることと、R=2kΩの値を増やすことです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
34 | Re^4: オペアンプによる定電流回路について | コメント数: 8件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/19 (火) 12:45 | |
すみません 先程は授業と授業の合間に返信したため説明が不十分でした サーミスタは3kΩから2.9kΩにする予定ですが今現在の試しの 回路ではサーミスタは11kΩから小さくなっていくといった状態です とりあえず+と−間の電圧を測ったところ0.958Vでした 又出力とGND間の電圧は0.47Vでした どこをどのように変えれば実現できそうでしょうか? よろしくお願いします |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
33 | Re^3: オペアンプによる定電流回路について | コメント数: 9件 |
なひたふ | 2000/12/19 (火) 11:20 | |
こんにちわ > オペアンプにはPMI OP290 EZ T9419 を使用しています。 > > サーミスタはT型回路を用いて3kΩ〜2.9kΩまで変化させる予定です > (温度範囲は30℃〜50℃) 私の言いたかったことはすべてIKEさんとももせさんが書いてくれました。 オペアンプ回路が動かないときは、入力電圧を測ってみることが一番です。フィードバックがかかって正常に動作していれば電位差は0になります。オペアンプを利用した定電流回路やアンプなどでは必ず0になっているはずです。 なお、オペアンプによっては、故障によって入力端子から電源電圧が出てくるものは経験的にかなり多くあります。オペアンプの故障によって入力端子が振り切れているのか、それともフィードバックが不良のため入力が振り切れているのかを見分ける必要もあります。 次に測るべき点は、出力電圧です。VCCやGNDに振り切れていたら疑ってください。 ところで、電源は両電源で、電圧は大丈夫でしょうか。約2.5mAの電流をサーミスタに流すということは、サーミスタの等価抵抗が3kΩとしても-7.5V以下の電圧がオペアンプの出力から出なければなりません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
32 | Re^2: オペアンプによる定電流回路について | コメント数: 10件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/19 (火) 10:38 | |
> 2つほど気になる点があります。 > 測定したい温度で、サーミスタの抵抗値はどのくらいでしょうか。 > また、電源は両電源ですか?単電源ですか?何Vでしょうか。 > > オペアンプの出力端子の電圧を測ってみてください。 > ひょっとすると振り切っているかもしれません。 こんにちはひなふたさん、IKEさん、ももせさん迅速な返信ありがとうござます。 電源としては電池を使用しようしてそれをDCDCコンバータで5Vにして オペアンプに入力しています。 オペアンプにはPMI OP290 EZ T9419 を使用しています。 サーミスタはT型回路を用いて3kΩ〜2.9kΩまで変化させる予定です (温度範囲は30℃〜50℃) 回路的にはサーミスタに一定の電流を流して温度に対する電圧の変化を マイコンに取り入れようとするものです。 以上ですがよろしくお願いします。 又他の回路で簡易に作成できるものがあれば教えていただけると うれしいです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
31 | Re: 方形波 | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2000/12/18 (月) 23:11 | |
IKEです。 > パルスをカウントしたいのじゃが、直で接続していいのかのう? > それとも、なにか間に入れるべきじゃろうか。 アナログ回路とデジタル回路の接続ですね。 基本的に同じ電源なら、直でOK。同じ電源電圧でも別電源なら 電源の立ち上がりでラッチアップの可能性があるので、 シリーズに22KΩの抵抗を入れてます。 高信頼性回路ならシュミット回路を入れます。 では。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
30 | 方形波 | コメント数: 1件 |
JUN猫 | 2000/12/18 (月) 22:18 | |
続けてOPアンプの回路についての質問なのじゃが、 よくあるOPアンプの方形波発生回路を真似て5V単電源の LMC662で作ってみたのじゃが、この波形をZ80のPIOに入れて パルスをカウントしたいのじゃが、直で接続していいのかのう? それとも、なにか間に入れるべきじゃろうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
29 | Re: オペアンプによる定電流回路について | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/18 (月) 21:22 | |
http://www.momose.com/hirofumi/ | ||
初めましてももせともうします。 まず、帰還回路においてオペアンプが動作しているのを乱暴にかつ素早く 見分けるために、オペアンプの+−入力の電位差を測ります。まとも動作 していれば、電位差はほぼゼロです。仮想アースとかいいます。 (ミリボルトオーダーでオフセットが出ていますが) R2に流れる電流は 5V/Rになりますね。2,5mAになります。 このR2は電源電圧に対して2.5mAを流せる抵抗値でしょうか? 理想モデルでは電源電圧を問題にしないため、落とし穴があるかもしれません。 また、オペアンプは何をお使いですか?オペアンプの中には単電源で使うと 入力電圧範囲がGNDまで落とせないものがあります。特に、4558等のオールド なやつはまずだめです。単電源で入力をGNDまで落とせるアンプもありますが、 この手の石は出力を軽く動作させないと、スイングできない場合が多いようです。 経験上、5V単一で確実に動作させるために、私の場合は出来るだけ入力電圧 範囲を電源より1V以上狭めます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
28 | Re: オペアンプによる定電流回路について | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2000/12/18 (月) 20:48 | |
IKEです。 > 初めまして神戸高専に通っておりますカズトヨというものです。 > 今、オペアンプのみで定電流回路作成しようと頑張っているのですが R2には5/2=2.5mA流れます。定電流です。(電源は±電源ですよね) サーミスタは発熱すると抵抗値が上がりますので消費電力が上がり さらに発熱し、最終的に飽和することになるでしょう。 一般的にサーミスタはセンサであり、電流を流して使用するものでは ありません > どうにもうまくいきません。そこでアドバイスなどいただけると で、この回路でどうしたいのでしょうか? どうしたいのかが、回路を理解するポイントです。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
27 | Re^7: VHDLについて教えてください | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/18 (月) 20:47 | |
http://www.momose.com/hirofumi/ | ||
> clk'event and
clk='0'で反転クロックで取ることになりますよね。 > 立下りを利用するだけでしたら、中間的な信号を用意し、最終的な出力は反転クロックで中間出力を転送すればよいのではないでしょうか。 > それから、XILINX-CPLDはマクロセルの内部にクロック反転の回路を持っています。つまり反転クロックという信号を流さなくても、全てのレジスタが反転クロックを認識できます。 > つまり、そういう使い方も想定されています。(やらないほうがいいですが・・) なにぶんVHDLは初めたばっかりに近いので、今ひとつピンと来ないことが多いです。 この信号は最終的な(チップの外に出す)信号で、シリアルクロックです。データ出力 とストローブの時間差をどうやって取ろうかなぁと。とりあえず、クロックのたち下がり でデータ出力し、クロックはそのまま出すことにしたいと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
26 | Re^2: 電子・電気の基礎の基礎について | コメント数: 0件 |
なひたふ | 2000/12/18 (月) 20:31 | |
http://www.picfun.com/picbook3.html | ||
ネットサーフィンで見つけました。 こんな本はいかがでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
25 | Re^2: 0.1uF | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2000/12/18 (月) 19:34 | |
>
秋葉原ではほとんど手に入りません。携帯電話業界などが元凶だと聴いていますが、いったいいつになったら秋葉でも入荷できるようになるのでしょうか。極めて入手難です。 すべてiモードが原因です。コンデンサ、液晶、フラッシュなどなど大変です。 ほんと!2012の104は秋葉原のどこにもありませんでした。 自作基板の設計ができませんよ。こまった!! もう少し探してだめだったら、みなさんお願いしますね。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
24 | Re^6: VHDLについて教えてください | コメント数: 1件 |
なひたふ | 2000/12/18 (月) 19:24 | |
clk'event and
clk='0'で反転クロックで取ることになりますよね。 立下りを利用するだけでしたら、中間的な信号を用意し、最終的な出力は反転クロックで中間出力を転送すればよいのではないでしょうか。 それから、XILINX-CPLDはマクロセルの内部にクロック反転の回路を持っています。つまり反転クロックという信号を流さなくても、全てのレジスタが反転クロックを認識できます。 つまり、そういう使い方も想定されています。(やらないほうがいいですが・・) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
23 | Re^5: VHDLについて教えてください | コメント数: 2件 |
なひたふ | 2000/12/18 (月) 19:12 | |
これではだめでしょうか entity main is port ( clk : in std_logic; d : in std_logic; q : out std_logic ); end; architecture behavioral of main is signal t:std_logic; begin process(clk) begin if(clk'event and clk='1') then t <= d; end if; if(clk'event and clk='0') then q <= t; end if; end process; end behavioral; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
22 | Re: オペアンプによる定電流回路について | コメント数: 11件 |
なひたふ | 2000/12/18 (月) 19:04 | |
こんにちは > しかし実際にはサーミスタの抵抗値が変化すると > 電流値も変化し又その値にしてもRで決定される > 値ではなくRとR2の合成抵抗によって決定される > ものとなってしまいました。 2つほど気になる点があります。 測定したい温度で、サーミスタの抵抗値はどのくらいでしょうか。 また、電源は両電源ですか?単電源ですか?何Vでしょうか。 オペアンプの出力端子の電圧を測ってみてください。 ひょっとすると振り切っているかもしれません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
21 | オペアンプによる定電流回路について | コメント数: 14件 |
kazutoyo | r196505@kobe-kosen.ac.jp | 2000/12/18 (月) 18:13 | |
初めまして神戸高専に通っておりますカズトヨというものです。 今、オペアンプのみで定電流回路作成しようと頑張っているのですが どうにもうまくいきません。そこでアドバイスなどいただけると うれしいのですが、回路は以下のようになっていて ----------------- | | 5v---R-----|- | | op >--R2--- ----|+ | GND Rには2kΩ R2にはサーミスタを付けています この状態でR2が温度によって抵抗値が変化しても サーミスタに一定の電流が流れるようにしたいのですが うまくいきません 本にはRで決定された電流がR2に流れるとあり、又 ナレータ・ノレータモデルで考えたところ理論では 実現できそうだなおもいました。 しかし実際にはサーミスタの抵抗値が変化すると 電流値も変化し又その値にしてもRで決定される 値ではなくRとR2の合成抵抗によって決定される ものとなってしまいました。 なにぶん知識の少ないもので初歩的なことを おうかがいしているのかもしれませんが何か 良い案などございましたら御教授ください よろしくお願いします |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
20 | Re^4: VHDLについて教えてください | コメント数: 3件 |
ももせ | hirofumi@momose.com | 2000/12/18 (月) 18:05 | |
http://www.momose.com/hirofumi/ | ||
> > やりたいのは、クロックの立ち上がりでセレクトしたデータを出力 > > し、立ち下がり(を反転した立ち上がり)で相手にロードさせたいのです。 > > それはいわゆる2相クロックになりますね。やらない方が良いのですが‥。 まさに裏クロックでラッチさせるのですが、2相にするとなんかマズイんでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
19 | Re^3: VHDLについて教えてください | コメント数: 4件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/18 (月) 17:35 | |
> やりたいのは、クロックの立ち上がりでセレクトしたデータを出力 > し、立ち下がり(を反転した立ち上がり)で相手にロードさせたいのです。 それはいわゆる2相クロックになりますね。やらない方が良いのですが‥。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
18 | Re^2: VHDLについて教えてください | コメント数: 5件 |
ももせ | hirofumi@momose.com | 2000/12/18 (月) 16:24 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 > > process(clk) begin > > if(clk'event and clk='1') then > > klc <= '0'; > > else > > klc <= '1'; > > end if; > > end process; > > > > よくわかりませんが、この回路はそもそもどういう働きをするのでしょうか。clk'event and clk='1'という記述はクロックの立ち上がりに同期して変化するとい > うことですから、この文にelseをつかうことに無理があると思います。 > つまり、クロックの立ち上がりでklcは0になり、次の瞬間に1になるという気がします。 やりたいのは、クロックの立ち上がりでセレクトしたデータを出力 し、立ち下がり(を反転した立ち上がり)で相手にロードさせたいのです。 ただこれだけです。上記のklcはこのためのロード信号で、クロックに対し て反転信号を作りたいのです。単にprocessの外で klc <= not clk and klc_enable; としてenableをいじるだけだとハザードが出るため、これを簡単に書く方法は ないものかと。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
17 | Re: VHDLについて教えてください | コメント数: 6件 |
なひたふ | 2000/12/18 (月) 15:40 | |
> process(clk) begin > if(clk'event and clk='1') then > klc <= '0'; > else > klc <= '1'; > end if; > end process; > よくわかりませんが、この回路はそもそもどういう働きをするのでしょうか。clk'event and clk='1'という記述はクロックの立ち上がりに同期して変化するとい うことですから、この文にelseをつかうことに無理があると思います。 つまり、クロックの立ち上がりでklcは0になり、次の瞬間に1になるという気がします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
16 | Re: VHDLについて教えてください | コメント数: 0件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/18 (月) 15:32 | |
> if(clk'event and clk='1') then > klc <= '0'; > else > klc <= '1'; これだとクロックに同期した波形が作れないからでは。 CLKのelse文というのは要らない気がするんですが。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
15 | VHDLについて教えてください | コメント数: 8件 |
ももせ | hirofumi@momose.com | 2000/12/18 (月) 13:30 | |
http://www.momose.com/hirofumi/ | ||
ももせです。VHDLは初心者なので、??だらけです。 以下のように記述すると、WebPackのSyntax checkやModelSIMは 通りますが、論理合成できません。 process(clk) begin if(clk'event and clk='1') then klc <= '0'; else klc <= '1'; end if; end process; フィッターは Signal klc can't be synthesized, bad synchronous description と怒ってしまいます。これはどういうことなのでしょうか?ご教授ください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
14 | Re: 0.1uF | コメント数: 2件 |
なひたふ | 2000/12/17 (日) 23:53 | |
>
のチップコンデンサが入手難と、XMPのページで書かれてましたがいかがですか? > 2012、3216のものでしたらRSから買ってリール(500個巻き)で持ってますんで、お分けしますけど。(1608はちょっと不足ぎみ) 秋葉原ではほとんど手に入りません。携帯電話業界などが元凶だと聴いていますが、いったいいつになったら秋葉でも入荷できるようになるのでしょうか。極めて入手難です。 私もRSから小さいやつの500個巻きを買いました。新製品のパンフレットが送られてきて早速買い、おまけのドライバーセットももらいました。さすがのRSでもばら売りはしてくれません。 そのときはRSから買うことができたのですが、やはり、RSから買えない方も大勢もいることですし、この状況が早く解決されることを待ち望んでいます。 たしか3216は昔、千石で3000個を500円で買いましたので、それがまだ2000個ほど残っています。使いたい放題です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
13 | 0.1uF | コメント数: 3件 |
とよぞう | PXW07530@nifty.ne.jp | 2000/12/17 (日) 18:27 | |
http://www.morphyplanning.co.jp/ | ||
のチップコンデンサが入手難と、XMPのページで書かれてましたがいかがですか? 2012、3216のものでしたらRSから買ってリール(500個巻き)で持ってますんで、お分けしますけど。(1608はちょっと不足ぎみ) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
12 | Re: 電子・電気の基礎の基礎について | コメント数: 4件 |
rupppau | ruppau@anet.ne.jp | 2000/12/17 (日) 05:39 | |
>
基礎の基礎はやっぱり計算?!ですかね ずばり、そうだと思います。 私はトラ技を2年分じっくり腰を据えて読みました。かなり基礎は固められます。 トラ技だけ読めばいいというものでもなく、トラ技->わからない事項->その事項関連な工学専門書を読み漁る->ついでに周辺基礎まで固めちゃう なサイクルができればしめたものだと思います。 でも趣味でやっている分には、てきと〜にやっちゃって動けばOKでも、ぜんぜんOKですよ ;-) #でもプロでも、計算しない設計ってもだいぶ多いのでは。 #いまだにコンデンサ入力な電源装置が多くありますが、負荷に対して容量値が最適化されていないものが多く見られます #そうすると力率悪くって、その補償をするために電力会社は苦労するってことになっちゃうってな状態だったり。知らないって罪です ^^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
11 | Re^2: オペアンプについて | コメント数: 1件 |
なひたふ | 2000/12/16 (土) 19:10 | |
>
そのセンサーとはどのようなセンサーでしょうか。ピエゾ(圧電素子)でしょうか。 もし、振動センサとしてピエゾを使っているのでしたら、オペアンプの3番ピンとGNDの間に100kΩから1MΩの抵抗をいれてください。 アンプ内蔵のセンサでしたら、その必要はありません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
10 | Re^2: オペアンプについて | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2000/12/16 (土) 18:19 | |
http://www.momose.com/hirofumi/ | ||
> > 1番ピンとGNDの電圧を見たのですが > > なぜか4Vぐらいが出っ放しとなってしまいます。 > オペアンプが飽和してしまっているようですね。単一電源仕様のオペアンプであれば、 入力がGND付近でもつかえるので、間違いはないと思います。このような場合は、 オペアンプの入力電圧をオシロなどで測ってみるとよいと思います。 恐らくオシロのインピーダンス(1M/10M)でも十分な負荷になってしまい、オシロを つなぐと正常動作するかもしれません。良くあることです。^^;) 単一電源でもホントにGNDぎりぎりで入力すると結構キツイことがあります。一応 同相入力範囲は電源電圧よりも0.1V程度狭めた方が安全です。(単一5Vなら、 0.1〜4.9Vを入力とする)。直流アンプでなくても良いなら、適当にバイアスして 交流アンプにすると確実です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
9 | Re: オペアンプについて | コメント数: 4件 |
なひたふ | 2000/12/16 (土) 16:37 | |
> 1番ピンとGNDの電圧を見たのですが > なぜか4Vぐらいが出っ放しとなってしまいます。 オペアンプが飽和してしまっているようですね。単一電源仕様のオペアンプであれば、入力がGND付近でもつかえるので、間違いはないと思います。このような場合は、オペアンプの入力電圧をオシロなどで測ってみるとよいと思います。 そのセンサーとはどのようなセンサーでしょうか。ピエゾ(圧電素子)でしょうか。 非反転増幅回路は、入力インピーダンスが極めて高いのですが、入力端子から微弱な入力バイアス電流が滲み出しています。この電流を流してやるルートがないとオペアンプは正常に動作しません。特に入力をコンデンサなどでカップリングしている場合や、コンデンサ的なセンサーを接続している場合は注意が必要です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
8 | 電子・電気の基礎の基礎について | コメント数: 5件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/16 (土) 16:23 | |
柿沼と申します。こんにちは アナログ電子回路やデジタル電子回路について基礎の基礎から 載っているような本を探しているのですが、何か良い本はないでしょうか? 会社に、CQ出版のECBシリーズの本があるのですが、どうも計算が多く てこの時点でさっぱり?です。 計算の前に電子をやっている方が暗黙のうちに分かっている事、このよ うな回路だとこうなる、例えばマイコンにスイッチをつなげる時は、 誤動作防止の為プルアップやプルダウンが必要やレギュレータを使用する時は、 入力と出力のところにコンデンサを入れて安定させるなどこのような細かな 事例が沢山出ているような本やホームページは無いでしょうか? 計算ではなく、このような時には大体どのくらいの抵抗やコンデンサを入れ ておけばいいとかそのような物が書いてあるとうれしいのですが。 皆さんは、このような基礎はどこから学んだ物でしょうか? 電子に関する初歩的なセミナーなどは無いでしょうか? よろしくお願いします。 基礎の基礎はやっぱり計算?!ですかね |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
7 | オペアンプについて | コメント数: 5件 |
柿沼 | kkakinuma@pop02.odn.ne.jp | 2000/12/16 (土) 16:17 | |
柿沼と申します。こんにちは オペアンプについてお聞きしたい事があります。 下記のような非反転回路を作ったのですが、 1番ピンとGNDの電圧を見たのですが なぜか4Vぐらいが出っ放しとなってしまいます。 オペアンプは単一電源仕様のナショナルセミコンダクターの LM358とLM311を使っているのですがどちらも同じです。 下記の回路ちょっと分かりづらいと思いますが、 本に載っていた一般的な非反転回路です。 +--------R(5K)-------+ | | +------- 2- | | OPAMP 1-+-------- | +-- 3+ | | | | R(1K) 電源(数mV) | | GND GND 単一電源なので OPAMP 4 GND OPAMP 8 VCC(5V) につないであります。パワーサプライより供給しています。 このセンサーというものは、ある一定の力(振動)を加えると センサー単体で数mV発生する代物です。 自分が作ろうと思ったものはこの数mV発生するセンサーの電圧を 増加させマイコンに取り入れセンサーの入力を見ようと思いました。 上記回路では何か間違えがあるのでしょうか? ご指導お願い致します。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
6 | Re: Foundationでの事前ピン配置 | コメント数: 1件 |
なひたふ | 2000/12/16 (土) 00:04 | |
こんばんわ > Foundationで、CPLDのピンを事前配置するにはどうすれば良いのでしょうか? Foundation1.5の頃は「Tools->Implementation->Lock Pin device」を実行すればOKでした。現在の配置のとおりに自動でucfファイルを作ってくれます。それを参考にエディタでプチプチ直していきます。 このucfの雛型にはWebPACKには載っていないようなことまで記述されています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
5 | Re: FLUSHの書替回数 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2000/12/14 (木) 21:02 | |
http://www.momose.com/hirofumi/ | ||
> たとえば、アトメルのAT17C256の場合 > > |回数は Supply Voltage の誤差範囲(tolerance)によって違い、 > | > |±0.3Vより大きい場合 :typically 50,000 cycles per page > |±0.15V〜±0.3Vの場合 :typically 70,000 cycles per page > |±0.15V未満の場合 :typically 100,000 cycles per page > | > と教えてもらいました。 > だから、単純に回数をあらわしにくいのでしょうね。 ほうほう、実は電圧に依存しているのですか。知らなかった。 恐らくはメーカで相当な余裕(+20dB位の)を持った回数をスペックにしているとは思っています。 スペックをあまりに越えた書き込みをすると、リテンションも心配なところです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
4 | Foundationでの事前ピン配置 | コメント数: 2件 |
ぶるる | bulru@kofu.or.jp | 2000/12/14 (木) 19:55 | |
Foundationで、CPLDのピンを事前配置するにはどうすれば良いのでしょうか? WebPACKでは、Pin Assignment Chip Viewerと言うのが有って,ドラッグ& ドロップで配置できるのに、Foundationにはそれらしきものが見つかりません。 マニュアルを熟読すれば良いのでしょうが、何せ読むのが億劫だし、Xilinxの HPからヒントを探そうにも、かのHPはとても分かり難く、とても面倒なので どなたかご存知の方がいらっしゃいましたらご教示願います。現在は、WebPACK で、Pin Assignment chip Viewerが吐き出したUCFファイルを参考にエディタで 打ち込んでいます. |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
3 | FLUSHの書替回数 | コメント数: 1件 |
shirou | a-ushiro@hdl.co.jp | 2000/12/14 (木) 12:59 | |
http://www.hdl.co.jp/home.html | ||
たとえば、アトメルのAT17C256の場合 |回数は Supply Voltage の誤差範囲(tolerance)によって違い、 | |±0.3Vより大きい場合 :typically 50,000 cycles per page |±0.15V〜±0.3Vの場合 :typically 70,000 cycles per page |±0.15V未満の場合 :typically 100,000 cycles per page | と教えてもらいました。 だから、単純に回数をあらわしにくいのでしょうね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
2 | Re^3: M16C/62(M30620FCAFP) の評価基板 | コメント数: 0件 |
JUN猫 | 2000/12/13 (水) 22:04 | |
> 日立はMPU内蔵フラッシュをEPROMの代わりとしか見ていません。 > で、100回と記載されていますが、実力は1万回程度のものも多いそうです。 > 誰か試してみませんか? うちが某会社に納めた基板は、実はBIOSレベルだけのデバッグで100回 くらい焼いたあげく、バージョンアップでさらに100回以上焼いてもまだ ぜんぜんへっちゃら。(ってゆーか、そんなの納めていいのか?) 他のEEPROMと同じものを使ってるからとかなんとかいう話を聞いて 回数は全然気にしないでバシバシ焼いているJUN猫であります。(笑) でもさすがに1万回焼けるか試している時間がないのが難点。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
1 | Re: M16C/62(M30620FCAFP) の評価基板 | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2000/12/13 (水) 20:55 | |
IKEです。 > 三菱のWebを見ると、評価版が4ヶ月限定ながら制限はなさそうです。 > CPUチップそのものはH8に比べよさそうです。gnu-cc がサポートして > くれるのを祈りつつ。 日立は自社製コンパイラと、GCCとの両方を作っているそうです。 ここらあたりが、三菱と姿勢の違うところでしょう。 しかし有料の自社コンパイラより、GCCの方が性能が良いとか聞いている。 う〜ん。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
より新しい記事へ
← 495 件の投稿中 100件目〜1件目を表示 → より前の記事へ |
Copyright(C) 1999 NIFTY Corporation All Rights Reserved. |