なひたふ新聞読者の声 |
なひたふ新聞に載っている回路についての疑問や、電子回路のついて の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。 |
日付順表示|スレッド表示|ホームページへ戻る|ヘルプ |
100 | Re^2: 充電用IC | コメント数: 4件 |
ふう | 2001/01/30 (火) 18:13 | |
> タイトルの充電用ICですが、これはバッテリ充電用でしょうか。 > でしたら、この部分はチャージャーの中にあります。 あっ。言い忘れてました。。。すみません 充電用IC→充電制御用ICです。 チャージャーの中にあるのはリチウム電池の保護用ICですよね。 あまり詳しくないのですが。。。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
99 | Re: 充電用IC | コメント数: 5件 |
ももせ | hirofumi@momose.com | 2001/01/30 (火) 13:53 | |
http://www.momose.com/hirofumi/ | ||
> こんばんは。 > 携帯電話の回路図というのはどのようになっているのでしょうか? > いらない携帯をもらったので内部を見てみたのですが。。。 > さっぱりでした(泣) 携帯は複雑ですね。今のはどれも各機能ASICいくつかで基本的な 部分がカバーされてしまっています。無線機としての通常の課程 RF-IF-DET-AFはほとんどデジタル化されてます。アナログなのは RFとAFのパワーアンプそれと、ミキサー以降の高周波数部分くら いでしょうか。 タイトルの充電用ICですが、これはバッテリ充電用でしょうか。 でしたら、この部分はチャージャーの中にあります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
98 | 充電用IC | コメント数: 6件 |
ふう | 2001/01/30 (火) 00:17 | |
こんばんは。 携帯電話の回路図というのはどのようになっているのでしょうか? いらない携帯をもらったので内部を見てみたのですが。。。 さっぱりでした(泣) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
97 | Re^2: 電流値を読みとりたい | コメント数: 2件 |
なひたふ | 2001/01/29 (月) 20:50 | |
> PD出力をI-V変換して電圧値で読みとる方法もあると思うのですが、 > なるべくシンプルに回路構成したいのです。 自分で測定回路を組む場合、周波数帯域を増やしたうえに利得を増やそうとすると、PDが持つキャパシタンスとアナログスイッチの持つキャパシタンスによっては回路が発振してしまいます。 要は、PDからの出力が何mAかによって回路構成が変わってきます。 トラ技のバックナンバーが見れられるのでしたら、「アナログ回路のデジタル制御ABC」とかいうタイトルの特集がありましたので、それがより詳しく参考になるかと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
96 | Re: 電流値を読みとりたい | コメント数: 3件 |
なひたふ | 2001/01/29 (月) 20:47 | |
>
で、入手し安い且つ、ON抵抗が低い74HC4051(東芝)を使おうと思っているのですが、 > それで正しい電流値が測定できるでしょうか? どの程度の光量を測定するのにもよりますが、原理的には多分大丈夫でしょう。 でも、74HC4051の電源電圧を超える入力電圧や、マイナスの電圧をいれてはいけません。フォトダイオードに加えるバイアスの取り方に注意してください。より正確には、ADG202などのアナログマルチプレクサ専門のICを使うとよいです。電流測定ではON抵抗はあまり影響しないと思います。 それよりも、隣のセンサーの入力電流が漏れてこないかどうかが心配です。測りたい電流は何mAくらいになるのでしょうか。DMMで測るようなmAオーダー以上でしたら、問題はないでしょう。μAオーダーでは微妙です。 微小電流測定ならば、基本的にはセンサーをオペアンプに直結です。間に余計なものをはさんではいけません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
95 | 電流値を読みとりたい | コメント数: 4件 |
ふぃ~ご | zambrotta8@hotmail.com | 2001/01/29 (月) 18:28 | |
初めまして。いつもROMさせていただいていました。まだまだ初心者の ふぃ~ごともうします。よろしくお願いします。 早速質問なのですが、 3個のPD(フォト・ダイオード)の電流出力のうちどれか1つを選択して デジタルマルチメータで電流値を読み込みたいのですが、当然、マルチプレクサ が必要になってきます。 で、入手し安い且つ、ON抵抗が低い74HC4051(東芝)を使おうと思っているのですが、 それで正しい電流値が測定できるでしょうか? PD出力をI-V変換して電圧値で読みとる方法もあると思うのですが、 なるべくシンプルに回路構成したいのです。 ご教授下さい。 以上よろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
94 | Re^5: 秋月のH8/3067F ボード(雑談 | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/01/29 (月) 15:44 | |
> 平日にいくと、結構業者の人がパーツ買ってます。中にはまとめて数量を > 買う人もいるようです。たしか”小ロット生産者と...”とかいう看板を > Webに掲げてなかったかな。 うちは大阪で、通販利用だけですが、去年のをしらべてみたら99万ほど買って いました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
93 | Re^4: 秋月のH8/3067F ボード(雑談 | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/29 (月) 13:53 | |
http://www.momose.com/hirofumi/ | ||
>
一日に700万という事は、一人あたり平均3,000円使うとして2333人ぐらいが > 何かを買っている。(でも通販があるからなあ) 平日にいくと、結構業者の人がパーツ買ってます。中にはまとめて数量を 買う人もいるようです。たしか”小ロット生産者と...”とかいう看板を Webに掲げてなかったかな。 > 60万*20=1,200万 なんかさびしい。でもあの価格じゃ20%取れないだろう。 ユーザにとってはありがたい限りです。 でもどうなのかなあ、秋月にあるパーツはディスコンなどでお蔵入りした パーツとかを叩き買ってるような...正規品(別に裏ルートじゃないんですが) ではなく、ハンパものなどが結構あります。半完成のユニットなんかが そんな感じです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
92 | Re^3: 秋月のH8/3067F ボード(雑談 | コメント数: 2件 |
森 秀樹 | hideki.mori@bowneglobal.co.jp | 2001/01/29 (月) 03:37 | |
。 > 秋月って週に2日も休んでいるのにそんなに売り上げているのですね。そうすると、一日に700万円くらいですか。 一日に700万という事は、一人あたり平均3,000円使うとして2333人ぐらいが 何かを買っている。(でも通販があるからなあ) 1日に700万という事は、3,000円程度のキットが2333個売りあがっている。 1日に700万という事は利益率が 10% だとしても 70万の利益を確保し、 私の想像だが、10万程度の維持費を使っている。つまり1日60万 60万*20=1,200万 なんかさびしい。でもあの価格じゃ20%取れないだろう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
91 | Re^2: 秋月のH8/3067F ボード(雑談 | コメント数: 0件 |
森 秀樹 | hideki.mori@bowneglobal.co.jp | 2001/01/29 (月) 03:29 | |
> こんにちは > > 始め見た時は、どうでもいいけど、5,700円は高い。使えない32KByte/SRAM > 秋月さんの製品はどれも、メチャクチャ安いとおもっています。 確かに、そのメチャ安に感覚が慣れているせいかもしれないけど。 前のAKI-H8に比べると高い気がする。やはり、これは4980円が妥当な。。 それと、データバスやアドレスバスが基板外に出てないのはまずい。 ただ、簡単にSRAMがのせかえれるので、テスト環境としては良いかな。 で、現在のそのボードですが、あれから、シリアルのラインを配線しようと ライター用のコネクターを外して逆に付けて基板の下側に出しただけで おいてあります。この調子だと今週末ぐらいにテストプログラムのはじめての UP-LOADかな。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
90 | Re^2: 秋月のH8/3067F ボード(雑談 | コメント数: 0件 |
JUN猫 | 2001/01/28 (日) 22:58 | |
>
#秋月さんは毎月1億5000万くらい売上げているそうです いっ、一億・・・うちの年商っくらいを一月で・・・ すごいのう。あの安さはそこから来てるのか・・・ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
89 | Re^3: 秋月のH8/3067F ボード(雑談 | コメント数: 0件 |
shirou | a-ushiro@hdl.co.jp | 2001/01/28 (日) 21:50 | |
>
秋月って週に2日も休んでいるのにそんなに売り上げているのですね。そうすると、一日に700万円くらいですか。 > それは、私が昔アルバイトしていた秋葉原の某店の***倍です。 > だからあそこまで安くできるのですね。 98年で年間18億だったようですから、いまはもっとかも。 トラ技の広告も7ページもだしてますしね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
88 | Re^2: 秋月のH8/3067F ボード(雑談 | コメント数: 4件 |
なひたふ | 2001/01/28 (日) 19:13 | |
>
#秋月さんは毎月1億5000万くらい売上げているそうです うひー。 秋月って週に2日も休んでいるのにそんなに売り上げているのですね。そうすると、一日に700万円くらいですか。 それは、私が昔アルバイトしていた秋葉原の某店の***倍です。 だからあそこまで安くできるのですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
87 | Re: 秋月のH8/3067F ボード(雑談 | コメント数: 7件 |
shirou | a-ushiro@hdl.co.jp | 2001/01/28 (日) 18:17 | |
こんにちは > 始め見た時は、どうでもいいけど、5,700円は高い。使えない32KByte/SRAM 秋月さんの製品はどれも、メチャクチャ安いとおもっています。 うちなどはぼったくっているのではと思われているのではといつもビクビクしています。 去年日立の方から電話をいただきました。 うちで、H8がずっと品切れとかいてあったので、イメージが悪いから入手できないなら 口をきいてあげるとのことでした。 でも、けっきょく50個とかの少量では仕入れることができずボツになりました。 秋月さんに日立のCコンパイラなどの世話をされたかただそうです。 雑談でした。 #秋月さんは毎月1億5000万くらい売上げているそうです |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
86 | 秋月のH8/3067F ボード | コメント数: 8件 |
森 秀樹 | hideki.mori@bowneglobal.co.jp | 2001/01/27 (土) 22:45 | |
秋月のH8/3067Fボードが出たんでつい買ってしまいました。 始め見た時は、どうでもいいけど、5,700円は高い。使えない32KByte/SRAM を含んでいるにしても高すぎる。しかも組みあがっているじゃねえか。 だが、回路図を見ていると、4MBit/SRAMまでのせられるように書いてある。 基板パターンもそれなりに出来ているようだ。 そこで、一応 ライタソフト代として1つ買って、若松で4千円近く出して、 4MBits/SRAMを買って一応のせた。 でも回路を良く見ると、SRAMにつながっている信号は基板外に出ていない。 ま、練習用基板としてはいいかもしれない程度だな。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
85 | FRN って何でしょう | コメント数: 0件 |
みつなが | 2001/01/27 (土) 21:43 | |
http://www.sanda.gr.jp/non/ | ||
出力をショートさせて壊したACアダプタ型のスイッチング電源 を分解したら、フューズの入っていそうなところに、巻線抵抗 のような部品(黄紫金金黒)があり、表面にクラックが入ってい ます。基盤には FRN と書いてあります。おそらく、こいつを 交換したら直ると思うのですが、普通のヒューズに変えても大 丈夫なものでしょうか。またFRNって何でしょうか? AC入力周りの回路構成は、トラ技2000年5月号の215ページに 似ていて、フューズF1の位置にFRNが入っています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
84 | 感謝! | コメント数: 0件 |
ryuichi | rivulet@ma3.justnet.ne.jp | 2001/01/26 (金) 21:24 | |
早速お返事ありがとうございます。ぜひ活用させていただきます、ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
83 | Re: 電圧を上げる | コメント数: 0件 |
桔梗屋 | 2001/01/25 (木) 22:45 | |
>
質問があります、1.5VでCMOSの74シリーズICを動作させたいのですが、その場合昇圧回路またはICでで電圧を上げる方法が適当でしょうか 保証外ですが、74HCシリーズは1.5v程度でも動作するという話を聞いたことがあります。どのくらいまで動作するかはわかりませんが。 簡単な回路ならDTL(ダイオードとトランジスタ)で組んでしまう、という手もありますけど。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
82 | Re: 電圧を上げる | コメント数: 0件 |
なひたふ | 2001/01/25 (木) 22:36 | |
>
質問があります、1.5VでCMOSの74シリーズICを動作させたいのですが、そ 74HCシリーズにかぎらずたいていのICは2.0Vないと動作しません。そこで昇圧するのですが、スイッチング電源というのが必要になります。 それでも1.5Vから動作するスイッチング電源ICもなかなかありません。 ↓のICは例外的なICです。 http://www.linear-tech.co.jp/data/datasheet/html/j1316f.html 他にもMAXIM社にもあると思います。 ICをつかわなくても、トランジスタとコイルで発振回路を組むのならば、電源電圧は1.5Vもあれば十分です。この手の回路はブロッキング発振回路というものが有名です。私が設計していると、ちょっと時間がかかってしまいますので、Chanさんのサイトを紹介いたします。 ChanさんのWebサイトに1.5Vの電池で白色LEDを光らせる記事があります。 http://elm-chan.org/reports/led1/report.html これらを参考にしてみてはいかがでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
81 | 訂正 | コメント数: 0件 |
ryuichi | rivulet@ma3.justnet.ne.jp | 2001/01/25 (木) 20:22 | |
中盤が変でしたすみません。(^_^;) 読ませ体だ炊いております。→読ませていただいております。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
80 | 電圧を上げる | コメント数: 4件 |
ryuichi | rivulet@ma3.justnet.ne.jp | 2001/01/25 (木) 20:21 | |
どうも始めまして、電子工作歴1年ほどで、最近なひたふを見つけ、掲示板などを読ませ体だ炊いております。 質問があります、1.5VでCMOSの74シリーズICを動作させたいのですが、その場合昇圧回路またはICでで電圧を上げる方法が適当でしょうか、もしそうならすぐ手に入りやすいメジャーなインバーターICがありましたら教えてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
79 | CQ RISC評価キット/SH4お譲りします | コメント数: 0件 |
門脇 正史 | kadowaki@white.plala.or.jp | 2001/01/25 (木) 00:59 | |
門脇と申します。 (掲示板の趣旨に合わない内容でしたら削除します。) Linuxの勉強用にCQ RISC評価キット/SH4を購入したのですが、 ほとんど使用していないため、送料込みで5万円でお譲りします。 キットのため動作の保証はメーカーのほうでもしておりません。 (動作確認はこちらでしてあります。) また、ユーザー登録カードがないことをあらかじめご了承ください。 興味がありましたらメールにてお問い合わせください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
78 | Re^11: CPLDで8ビットのラッチ | コメント数: 0件 |
なひたふ | 2001/01/24 (水) 11:53 | |
> そうですか。 私は、大学のHPから該当しそうな学科を探して講義の内容から > 勉強しました。 うんうん。それで十分だとおもいますよ。 > でも、cdmaレシーバの設計とか、結構ハードな内容をやっている所もあるのですね。 そういえば、デザインウェーブマガジンでハードウェアデザインコンテストをやっていて、その課題がCDMA電話器でした。 http://www.cqpub.co.jp/dwm/contest/default.htm その記事を最初に読んだとき、私はぎょっとしましたが、デザインウェーブマガジンをちゃんとよめば難しくないそうです。本当かな~ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
77 | Re^8: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/24 (水) 11:35 | |
たびたびの解説ありがとうございます。 教えていただいた内容の通り修正し、 首記の件シミュレータも通り、後は実機で確認するだけです。 ご指摘いただきました各位、感謝いたします。本当にありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
76 | Re^10: CPLDで8ビットのラッチ | コメント数: 1件 |
ぶるる | bulru@kofu.or.jp | 2001/01/24 (水) 11:31 | |
たびたびの解説ありがとうございます。 タイトルの内容の件シミュレータも通り 後は実機で確認するだけです。 > (OTHERS => 'Z')は見やすくする以外にも便利なことがあります。OTHERSを使うとバス幅を気にしなくてすむということです。 case ABUS is when "0000" => DBUS <= (REG1 & "00000"); ... when "1010" => DBUS <= (REG11 & "00"); when others => DBUS <= (OTHERS => 'Z') の様にも使えるのでしょうか?? ちょっと変な気もします... > > VHDLは基本的な文法を覚えたら、あとはデザインテンプレートや付け焼刃で覚えていくのがよいかと思います。自分でライブラリを作ったりするのでなければ、決して一冊読む必要はないと思います。 > 難しいのは、組み合わせ回路ではprocess文にいちいちネットの名前を列挙しなければならないのと、Clk'Eventの使い方、それからステートマシンの作り方くらいだとおもいます。 そうですか。 私は、大学のHPから該当しそうな学科を探して講義の内容から 勉強しました。 でも、cdmaレシーバの設計とか、結構ハードな内容をやっている 所もあるのですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
75 | Re^8: シュミットトリガ回路 | コメント数: 0件 |
カズトヨ | r196505@kobe-kosen.ac.jp | 2001/01/21 (日) 23:30 | |
返信ありがとうございます。 とりあえずNORの方に作り変えてやってみたのですが highになるときに5V以上のところまできてたりしてました。 とりあえず明日にでも比率を変えてみるなどして いろいろ試してみます。 いろいろな意見ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
74 | Re^7: シュミットトリガ回路 | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/21 (日) 00:52 | |
http://www.momose.com/hirofumi/ | ||
> そのあと手で接合点を触ったところ波形はでました。 > しかしかなりノイズは残っているようでした。 > > 波形が出ないのはハンダのミスかなにかだと思います。 > でもノイズは残るものなんでしょうか? 接触不良のような感じがしますが。 ノイズは手で触った際に、人体からのったのだと思います。 特に交流の誘導が大きく出るはずです。入力インピーダンス が高い場合、数ボルトにもなります。(オシロのプローブに 触ってみてください) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
73 | Re^5: シュミットトリガ回路 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/21 (日) 00:14 | |
http://www.momose.com/hirofumi/ | ||
> > なお、いい資料が > > http://www.tij.co.jp/jsc/docs/achp/logic_trouble/lg019.htm > > にあります。 > TI社にこんな面白いドキュメントがあったんですね。これって、いい資料ですね。 > 他にもいろいろなノウハウがありますし、読んでいると時間を忘れそうです。 できればこういう事例を300ほど集めて出版してくれるといいですね。 特に、活線挿抜などをする場合のバストランシーバの選び方なんかは ノウハウですね。電源投入時のピンの振る舞いまでは普通の規格表 なんかではわからないので。(資料はTIのセールスフォースなのだろうが) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
72 | Re^2: WebPACKのUCFファイルでNET名をCase Insensitiveにするには | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/19 (金) 23:12 | |
http://www.momose.com/hirofumi/ | ||
>
私もいろいろ探してみたのですが、みつかりませんでした。 あれこれ探したのですが、やっぱり見つけられません。 しかし、メッセージはCaseInsensitiveにしろと言ってます。 WebPackはヘルプ周りがまだ不親切なようです。 とりあえず、UCFを小文字で書くと出なくなるので、それでしのいでます。 > > ネット名がFitすると小文字になってしまうようで、同じく大文字で書いているUCFとマッチせず怒られます。 > VHDLでも試してみましたが、おこられはしてもちゃんと回路がfitされているようなのですが、ももせさんの方ではいかかでしょうか。 怒られてもFITされて、ピンも固定されてます。(だったら怒るなよ、と言いたいです) しかも変なことに、これが発生するのは一部のピンなんです。ネット名大文字、UCF大文字 でエラーが出ないものもあるのです。 #なんか、前バージョンのWebPackから変えた部分がハマっているような... |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
71 | Re^4: シュミットトリガ回路 | コメント数: 1件 |
なひたふ | 2001/01/19 (金) 23:03 | |
> なお、いい資料が > http://www.tij.co.jp/jsc/docs/achp/logic_trouble/lg019.htm > にあります。 TI社にこんな面白いドキュメントがあったんですね。これって、いい資料ですね。 他にもいろいろなノウハウがありますし、読んでいると時間を忘れそうです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
70 | Re^9: CPLDで8ビットのラッチ | コメント数: 2件 |
なひたふ | 2001/01/19 (金) 21:25 | |
(OTHERS =>
'Z')は見やすくする以外にも便利なことがあります。OTHERSを使うとバス幅を気にしなくてすむということです。最初は8ビットのバスで設計していたけれども、やはり16ビットにしようと思ったときに、OTHERSにしておけば変更しなくてすみます。 それから、全てのビットを同じ値で埋め尽くすのだなということが瞬時にわかるので、ソースを読む側としてもありがたいらしいです。そう聞きました。 VHDLの本ですが、VHDLの本には難解なものも多いですし、本に載っていることには不必要なことが多いです。VHDLは一つの回路を実現するために数多くの手段が用意されていて、自分にどの書き方が一番よく合っているかを選択するかが難しいです。 VHDLは基本的な文法を覚えたら、あとはデザインテンプレートや付け焼刃で覚えていくのがよいかと思います。自分でライブラリを作ったりするのでなければ、決して一冊読む必要はないと思います。 難しいのは、組み合わせ回路ではprocess文にいちいちネットの名前を列挙しなければならないのと、Clk'Eventの使い方、それからステートマシンの作り方くらいだとおもいます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
69 | Re: WebPACKのUCFファイルでNET名をCase Insensitiveにするには | コメント数: 1件 |
なひたふ | 2001/01/19 (金) 21:13 | |
>
CaseInSensitiveに設定しろ、とメッセージが出てくるのですがこれは > どこで設定するのでしょうか?ちょっと探したのですが、見つかりません。 私もいろいろ探してみたのですが、みつかりませんでした。ABELで書くときもデフォルトでは一番上位のPROPERTYがABEL XSTになっていてすべて小文字に変換されてしまいますが、ABEL BLIFに設定しなおすと大文字のまま通ります。VHDLではBLIFに設定することができないようです。よくわからないのですが、XSTというのが全て小文字通すツールなのかなとおもい、探してみたのですが見つかりません。 > ネット名がFitすると小文字になってしまうようで、同じく大文字で書いているUCFとマッチせず怒られます。 VHDLでも試してみましたが、おこられはしてもちゃんと回路がfitされているようなのですが、ももせさんの方ではいかかでしょうか。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
68 | Re^6: シュミットトリガ回路 | コメント数: 2件 |
カズトヨ | r196505@kobe-kosen.ac.jp | 2001/01/19 (金) 17:39 | |
> そのパルス出力は12Kオームの負荷を駆動できるパワーがありますか? > 出力端子ではなく、インバータの入力(2Kと10Kの結合点)で > 十分に波形が振られているか確認してみてください。 何度もすみません とりあえず測ってみたところ接合点の波形は出ていました。 しかし出力は出てきませんでした。 そのあと手で接合点を触ったところ波形はでました。 しかしかなりノイズは残っているようでした。 波形が出ないのはハンダのミスかなにかだと思います。 でもノイズは残るものなんでしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
67 | Re^5: シュミットトリガ回路 | コメント数: 3件 |
ももせ | hirofumi@momose.com | 2001/01/19 (金) 16:53 | |
http://www.momose.com/hirofumi/ | ||
> ----10kΩ---- > | | > パルス-->2kΩ-->NOT-->NOT---->出力 > > で現状は波形がでないといった感じです。 > 小さな電圧で小刻みにクロックをしているような > 感じなのですがオシロでは太線程度にしかみえません > でした。 > > こんな感じなのですがよろしくお願いします。 そのパルス出力は12Kオームの負荷を駆動できるパワーがありますか? 出力端子ではなく、インバータの入力(2Kと10Kの結合点)で 十分に波形が振られているか確認してみてください。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
66 | Re^4: シュミットトリガ回路 | コメント数: 4件 |
カズトヨ | r196505@kobe-kosen.ac.jp | 2001/01/19 (金) 16:36 | |
回路は ----10kΩ---- | | パルス-->2kΩ-->NOT-->NOT---->出力 で現状は波形がでないといった感じです。 小さな電圧で小刻みにクロックをしているような 感じなのですがオシロでは太線程度にしかみえません でした。 こんな感じなのですがよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
65 | Re^8: CPLDで8ビットのラッチ | コメント数: 3件 |
ぶるる | bulru@kofu.or.jp | 2001/01/19 (金) 15:36 | |
> > d_io <= (others => 'Z'); > > ってどう言う意味ですか?? > > d_io(std_logic_vector)のすべてをハイインピーダンス(3ステートのZ) > にするのです。バスが4本線なら、 d_io <= "ZZZZ";と同じです。 > 32線とかあると"ZZZZZZZZZ...."は見づらいので。 またまた解説ありがとうございます。 付け焼き刃はだめですね。もう少し 真剣に本を呼んでみます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
64 | Re^8: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/19 (金) 15:34 | |
> メモリですね。我が輩はCSとWRをorした1つのシグナルをこしらえて > それをエッジにしてメモリに書き込んでおります。この辺のメモリ制御 > シグナルは資料によってCSが先に立ち上がるものとWRが先に立ち上がる > ものがあるようです。メモリシグナルはActive Lowなので、orすれば > 早く立ち上がったものがトリガになります。 ご指摘ありがとうございます。 何となくそんな気(負け惜しみではない!) がして、CSとWRとRDをstd_logic_vectorでまとめて...whenで分けて... と、いろいろ試していたのですが、方法が間違っていました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
63 | Re^3: シュミットトリガ回路 | コメント数: 7件 |
ももせ | hirofumi@momose.com | 2001/01/19 (金) 14:21 | |
http://www.momose.com/hirofumi/ | ||
> > NOAゲートの真理値表をつくると気づくと思いますが、 > > 入力をショートして使うとNOTと同じになります。 > > あとは適当にポジティブなフィードバックかければ > > シュミットになります。ポジティブなフィードバック > > のため、ゲートは2個要りますね。 > > はやい回答ありがとうございます。 > あっやっぱりそれでできたんですね > 初歩的な質問ですみませんでした。 > > ところでそのフィードバックの抵抗値なんですが > R1/R0がだいたい5以上となるように設計すると > かかれてありとりあえずNOTを2つ使って > 2kΩと10kΩの比率5で製作してみましたが > うまく出力されませんでした。 > だいたいどの程度の抵抗をしようしたらよいのでしょうか? 抵抗値は問題ないと思います。入力から2Kを通してゲートの 入力に接続し、フィードバックをそのゲートの入力に接続します。 接続はOKでしょうか。動作しないというのはどういう状態なので しょうか。入力インピーダンスは十分に低く供給されてますか。 なお、いい資料が http://www.tij.co.jp/jsc/docs/achp/logic_trouble/lg019.htm にあります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
62 | Re^2: シュミットトリガ回路 | コメント数: 8件 |
カズトヨ | r196505@kobe-kosen.ac.jp | 2001/01/19 (金) 13:00 | |
> NOAゲートの真理値表をつくると気づくと思いますが、 > 入力をショートして使うとNOTと同じになります。 > あとは適当にポジティブなフィードバックかければ > シュミットになります。ポジティブなフィードバック > のため、ゲートは2個要りますね。 はやい回答ありがとうございます。 あっやっぱりそれでできたんですね 初歩的な質問ですみませんでした。 ところでそのフィードバックの抵抗値なんですが R1/R0がだいたい5以上となるように設計すると かかれてありとりあえずNOTを2つ使って 2kΩと10kΩの比率5で製作してみましたが うまく出力されませんでした。 だいたいどの程度の抵抗をしようしたらよいのでしょうか? 電源は単電源5Vです よろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
61 | Re^3: データ線 | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/19 (金) 11:19 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 > システムクロックは9.8304MHzだったかな。 > そのままAKI-80のコネクタからパターンで7cmMAXくらい先の > バス用コネクタへ繋いでおる。 Z80って確かバススピードはクリスタルの4分の1だったかな(違うかも)。 そうなると2.5Mくらいなので、7センチくらいならダンプ抵抗なくても 大丈夫だと思います。ヒゲの程度にもよりますが、ふつうヒゲは発生します のでムチャクチャひどくなければ問題ないと思います。 ヒゲにも種類があって、5Vロジックだとして立ち上がり時に6Vくらいまで 上がり、振動しながら5Vに落ち着くというパターン(下がるときは逆)は リンギングというやつで実はこれがもっとも厄介なやつです。これとは 違い、波形の真ん中とかでもヒゲが生えているタイプはノイズです。 ダンプ抵抗はリンギングに対して効果がありますが、ノイズには効果ありま せん。ヒゲにより誤動作するマージンが十分あれば、それでOKだと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
60 | Re: シュミットトリガ回路 | コメント数: 9件 |
ももせ | hirofumi@momose.com | 2001/01/19 (金) 11:09 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 > シュミットトリガ回路なんですがNOR2つだけで > つくることができないでしょうか? > NOTで製作する方法は探し当てたのですが > スペースがなくてなんとかあまっているNOR > だけで製作したいのですがご存知でしたら NOAゲートの真理値表をつくると気づくと思いますが、 入力をショートして使うとNOTと同じになります。 あとは適当にポジティブなフィードバックかければ シュミットになります。ポジティブなフィードバック のため、ゲートは2個要りますね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
59 | シュミットトリガ回路 | コメント数: 10件 |
カズトヨ | r196505@kobe-kosen.ac.jp | 2001/01/19 (金) 10:34 | |
お久しぶりです カズトヨ です またまたおじゃまします。 シュミットトリガ回路なんですがNOR2つだけで つくることができないでしょうか? NOTで製作する方法は探し当てたのですが スペースがなくてなんとかあまっているNOR だけで製作したいのですがご存知でしたら 教えてください。 いつも初歩的な質問ばかりで申しわけありません それでは |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
58 | Re^2: データ線 | コメント数: 0件 |
JUN猫 | 2001/01/19 (金) 00:27 | |
> オシロのGNDは測定したい波形の発生源のGNDに短くつながっていますか? > それから、オシロのプローブは×10モードで使っていますか? ×10で、えーと電源のグランドに繋いでたかも。 もっと近くに繋いでみまする。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
57 | Re^2: データ線 | コメント数: 1件 |
JUN猫 | 2001/01/19 (金) 00:25 | |
> クロック周波数はどのくらいでしょうか。 > データをフラットケーブルなどで引き出しているのですか。 > それならダンプ抵抗を直列に入れるだけでもかなり改善します。 システムクロックは9.8304MHzだったかな。 そのままAKI-80のコネクタからパターンで7cmMAXくらい先の バス用コネクタへ繋いでおる。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
56 | Re^7: CPLDで8ビットのラッチ | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/18 (木) 18:00 | |
http://www.momose.com/hirofumi/ | ||
> ☆★おわび★☆ > > ソース見易くなくて申し訳ありません。 HP閲覧は自由に出来るのですが、 > メールアドレスは共通菜物が1本しかなく、個人でメール使える環境にあり > ませんもので、会社にばれても... > 故に、e-mailで返事をもらっても困るので、実は表記されている > e-mailアドレスは遠い昔、懇意にしていたISPのオペレータから只で > もらった物で、今使えるかどうかは... HotMailなどのWebもののフリーなメールを使う手もありますな。 私は会社からでもmomose.comからメール転送を秘密メールサーバで 受けています。^^; (会社が用意しているメールサーバではないので好き勝手できます。 システム管理者の特権ともいえます) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
55 | Re^7: CPLDで8ビットのラッチ | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/18 (木) 16:38 | |
http://www.momose.com/hirofumi/ | ||
> ソース見てもらえば解ると思いますが、スタティックでLEDを点灯させる > ために使います。 !CSと!WRでデータをラッチします。ラッチした > データはLEDに接続されるピンに出しっ放しです。 点灯/消灯させる > LEDのグループは、アドレスで指定します。 タイミングで一番 > やっかいなのは、!CSと、!WRが当時に動作してしまうことです。 > !CSか、少し長めに出ていれば、!WRのエッジでラッチできるのですが・・ > !CSは、別のCPLDで作っているのですが、何せ、!ASが!RD、!WR > と同時か短めに落ちてしまっていますので、アドレスが既に無効って事で、 > そのままにしておく訳にもいきませんし... メモリですね。我が輩はCSとWRをorした1つのシグナルをこしらえて それをエッジにしてメモリに書き込んでおります。この辺のメモリ制御 シグナルは資料によってCSが先に立ち上がるものとWRが先に立ち上がる ものがあるようです。メモリシグナルはActive Lowなので、orすれば 早く立ち上がったものがトリガになります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
54 | Re: データ線 | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2001/01/18 (木) 16:29 | |
http://www.momose.com/hirofumi/ | ||
> 今、東芝のTMPZ80C015BF-12(要はAKI-80)で製作中 > なのじゃが、どーしてJUN猫が作るとデータがギザギザしてんだろ。 > プルアップしてみても、プルアップ外してもギザギザ。 > ちなみにデータはデータ線で、AKI-80のコネクタから > 直でひきだしておる。 クロック周波数はどのくらいでしょうか。 データをフラットケーブルなどで引き出しているのですか。 それならダンプ抵抗を直列に入れるだけでもかなり改善します。 > パターン間が0.5mmっくらいっきゃ無いのも関係あるのかのう? これはほとんど関係ないです。幅じゃなくて長さのが問題。 あと、測定系のテクニックはなひたふさんが書いているとおり。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
53 | Re^7: CPLDで8ビットのラッチ | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/18 (木) 16:19 | |
http://www.momose.com/hirofumi/ | ||
かなり端折ってます。こんな感じでは? entity... port(DBUS..,ABUS.., SEL_LED2, RD,WR LMP1....); end; architecture ... signal TMP_RW: TMP_WR <= SEL_LED2 or WR; process(TMP_WR) begin if(TMP_WR'event and TMP_WR='1') then case.. when "0000" => REG1 <= DBUS(7 downto 5); .... end case; end if; end process; process(SEL_LED2, RD) begin if(SEL_LED2 = '0' and RD='0') then case ... when "0001" => DBUS <= REG2; end case; else DBUS <= "ZZZZZZZZ"; endif; end process; end; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
52 | Re^7: CPLDで8ビットのラッチ | コメント数: 4件 |
ももせ | hirofumi@momose.com | 2001/01/18 (木) 15:52 | |
http://www.momose.com/hirofumi/ | ||
> d_io <= (others => 'Z'); > ってどう言う意味ですか?? d_io(std_logic_vector)のすべてをハイインピーダンス(3ステートのZ) にするのです。バスが4本線なら、 d_io <= "ZZZZ";と同じです。 32線とかあると"ZZZZZZZZZ...."は見づらいので。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
51 | Re^6: CPLDで8ビットのラッチ | コメント数: 3件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 11:21 | |
☆★おわび★☆ ソース見易くなくて申し訳ありません。 HP閲覧は自由に出来るのですが、 メールアドレスは共通菜物が1本しかなく、個人でメール使える環境にあり ませんもので、会社にばれても... 故に、e-mailで返事をもらっても困るので、実は表記されている e-mailアドレスは遠い昔、懇意にしていたISPのオペレータから只で もらった物で、今使えるかどうかは... |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
50 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 11:08 | |
その3 when "0101" => REG6 <= DBUS(7 downto 1); when "0110" => REG7 <= DBUS(7 downto 3); when "0111" => REG8 <= DBUS(7 downto 5); when "1000" => REG9 <= DBUS(7 downto 5); when "1001" => REG10 <= DBUS(7 downto 4); when "1010" => REG11 <= DBUS(7 downto 2); when others => null; end case; else DBUS <= "ZZZZZZZZ"; end if; end process; 以下最後まで、書きこみのソースと同じ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
49 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 11:06 | |
その2 when "0111" => DBUS <= (REG8 & "00000"); when "1000" => DBUS <= (REG9 & "00000"); when "1001" => DBUS <= (REG10 & "0000"); when "1010" => DBUS <= (REG11 & "00"); when others => DBUS <= "01010101"; -- Identifier of PLD3 end case; elsif(SEL_LED2='0' and RD='1' and WR='0')then case ABUS is -- AAAA -- 0000 -- 3210 when "0000" => REG1 <= DBUS(7 downto 5); when "0001" => REG2 <= DBUS; when "0010" => REG3 <= DBUS(7 downto 2); when "0011" => REG4 <= DBUS(7 downto 2); when "0100" => REG5 <= DBUS(7 downto 2); |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
48 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 11:04 | |
やっぱり入らないので分割します。 begin process(SEL_LED2, RD, WR)begin if(SEL_LED2='0' and RD='0' and WR='1')then case ABUS is when "0000" => DBUS <= (REG1 & "00000"); when "0001" => DBUS <= REG2; when "0010" => DBUS <= (REG3 & "00"); when "0011" => DBUS <= (REG4 & "00"); when "0100" => DBUS <= (REG5 & "00"); when "0101" => DBUS <= (REG6 & "0"); when "0110" => DBUS <= (REG7 & "000"); |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
47 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 11:02 | |
読み書き不能になってしまうソースを送ります。 どこが悪いのですかな? 書き込んだデータをリードバックできれば、現在点灯しているデータが 解って便利だと思ったのですが。 いけませんか~ 追加した読み込み部分のみ送ります。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
46 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:59 | |
これで終わり when "1001" => REG10 <= DBUS(7 downto 4); when "1010" => REG11 <= DBUS(7 downto 2); when others => null; end case; end if; end process; LMP1 <= REG1; LMP2 <= REG2; LMP3 <= REG3; LMP4 <= REG4; LMP5 <= REG5; LMP6 <= REG6; LMP7 <= REG7; LMP8 <= REG8; LMP9 <= REG9; LMP10 <= REG10; DOT <= REG11; end behavioral; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
45 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:58 | |
分割その3 -- -- Write LED data to register. -- process(SEL_LED2, WR)begin if(SEL_LED2='0' and WR='0')then case ABUS is when "0000" => REG1 <= DBUS(7 downto 5); when "0001" => REG2 <= DBUS; when "0010" => REG3 <= DBUS(7 downto 2); when "0011" => REG4 <= DBUS(7 downto 2); when "0100" => REG5 <= DBUS(7 downto 2); when "0101" => REG6 <= DBUS(7 downto 1); when "0110" => REG7 <= DBUS(7 downto 3); when "0111" => REG8 <= DBUS(7 downto 5); when "1000" => REG9 <= DBUS(7 downto 5); まだ続く |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
44 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:56 | |
分割その2 architecture behavioral of PLD3 is signal REG1 : std_logic_vector(2 downto 0); signal REG2 : std_logic_vector(7 downto 0); signal REG3 : std_logic_vector(5 downto 0); signal REG4 : std_logic_vector(5 downto 0); signal REG5 : std_logic_vector(5 downto 0); signal REG6 : std_logic_vector(6 downto 0); signal REG7 : std_logic_vector(4 downto 0); signal REG8 : std_logic_vector(2 downto 0); signal REG9 : std_logic_vector(2 downto 0); signal REG10 : std_logic_vector(3 downto 0); signal REG11 : std_logic_vector(5 downto 0); begin |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
43 | Re^6: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:55 | |
問題ない書きこみだけの方です。 分割その1 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PLD3 is port( DBUS : in std_logic_vector(7 downto 0); ABUS : in std_logic_vector(3 downto 0); DOT : out std_logic_vector(5 downto 0); SEL_LED2 : in std_logic; -- RD : in std_logic; WR : in std_logic; LMP1 : out std_logic_vector(2 downto 0); LMP2 : out std_logic_vector(7 downto 0); LMP3 : out std_logic_vector(5 downto 0); LMP4 : out std_logic_vector(5 downto 0); LMP5 : out std_logic_vector(5 downto 0); LMP6 : out std_logic_vector(6 downto 0); LMP7 : out std_logic_vector(4 downto 0); LMP8 : out std_logic_vector(2 downto 0); LMP9 : out std_logic_vector(2 downto 0); LMP10 : out std_logic_vector(3 downto 0) ); end PLD3; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
42 | Re^6: CPLDで8ビットのラッチ | コメント数: 2件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:52 | |
ソース見てもらえば解ると思いますが、スタティックでLEDを点灯させる ために使います。 !CSと!WRでデータをラッチします。ラッチした データはLEDに接続されるピンに出しっ放しです。 点灯/消灯させる LEDのグループは、アドレスで指定します。 タイミングで一番 やっかいなのは、!CSと、!WRが当時に動作してしまうことです。 !CSか、少し長めに出ていれば、!WRのエッジでラッチできるのですが・・ !CSは、別のCPLDで作っているのですが、何せ、!ASが!RD、!WR と同時か短めに落ちてしまっていますので、アドレスが既に無効って事で、 そのままにしておく訳にもいきませんし... |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
41 | Re^6: CPLDで8ビットのラッチ | コメント数: 5件 |
ぶるる | bulru@kofu.or.jp | 2001/01/18 (木) 10:45 | |
やっぱりだめでした。 作成して頂いたサンプルを参考に、ソースをあれこれ 変えてみたのですが、どうにもなりませんでした。問題なのは、クロックが ない事かな? 特にタイミングも気にしていなかったのと、ピン数に余裕が なかったから入れなかったのです。 上手くいった書き込みのみのソースを 別途送信します。 だめなやつは、またその次に送信します。ちなみに、 d_io <= (others => 'Z'); ってどう言う意味ですか?? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
40 | Re: データ線 | コメント数: 1件 |
なひたふ | 2001/01/17 (水) 23:12 | |
> ちなみにデータはデータ線で、AKI-80のコネクタから > 直でひきだしておる。 > パターン間が0.5mmっくらいっきゃ無いのも関係あるのかのう? それは、オシロのGNDが問題ではないでしょうか。 より高い周波数までみようとすると、どうしてもぎざぎざは増えます。テクトロ等の最近のオシロではぎざぎざがみえてしまうのが普通です。 オシロのGNDは測定したい波形の発生源のGNDに短くつながっていますか? それから、オシロのプローブは×10モードで使っていますか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
39 | データ線 | コメント数: 5件 |
JUN猫 | 2001/01/17 (水) 22:54 | |
今、東芝のTMPZ80C015BF-12(要はAKI-80)で製作中 なのじゃが、どーしてJUN猫が作るとデータがギザギザしてんだろ。 プルアップしてみても、プルアップ外してもギザギザ。 ちなみにデータはデータ線で、AKI-80のコネクタから 直でひきだしておる。 パターン間が0.5mmっくらいっきゃ無いのも関係あるのかのう? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
38 | Re^5: CPLDで8ビットのラッチ | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/17 (水) 10:25 | |
http://www.momose.com/hirofumi/ | ||
>
clk'eventの入るif文にelseを使うとうまくいかないようです。 言語仕様というか、VHDLは"覚えること"が多い感じがします。 言語はふつう文法を覚えることなのですが、clk'event の後にelse 使えないとか、process文の中にあるifの条件式のパラメータが 必ずprocessセンシティブに導入されるとか、文法として表記し難い 言語仕様がある感じがします。やはりこのあたりが Very Hard to Describe Languageとか言われる所以なのかもしれませんね。 できあがったアセンブラを想像しながらCを書く人はまずいませんが、 HDLはできあがった回路を想像しながら書く必要がある感じがします。 まだ発展途上なのでしょう。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
37 | Re^5: CPLDで8ビットのラッチ | コメント数: 21件 |
なひたふ | 2001/01/17 (水) 01:03 | |
entity main is port ( clk : in std_logic; d_io : inout std_logic_vector (7 downto 0); wr_in : in std_logic; rd_in : in std_logic ); end; architecture behavioral of main is signal d_reg:std_logic_vector (7 downto 0); begin process(clk) begin if(clk'event and clk='1') then if(wr_in = '1') then d_reg <= d_io; end if; end if; end process; process(rd_in) begin if(rd_in = '1') then d_io <= d_reg; else d_io <= (others => 'Z'); end if; end process; end behavioral; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
36 | Re^4: CPLDで8ビットのラッチ | コメント数: 23件 |
なひたふ | 2001/01/17 (水) 01:01 | |
clk'eventの入るif文にelseを使うとうまくいかないようです。 ところで、作りたいものはラッチなのでしょうか。それともD-FFでよいのでしょうか。私の記述したコードではD-FFになっていますが、inoutで読み書きしたいという仕様は満足しています。同期式回路の場合は、ラッチよりもD-FFの方が相性がいいです。 なお、fitした後のレポートでは d.trst = rd_in; という記述になっていますが、これが入力を3ステートにしていることをあらわしています。 コードは次に記述します |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
35 | Re: CPLDで8ビットのラッチ | コメント数: 24件 |
ももせ | hirofumi@momose.com | 2001/01/16 (火) 18:37 | |
http://www.momose.com/hirofumi/ | ||
> シミュレータでは正常に動作するのに、実機に実装すると読み込む事は > もとより、今まで正常に動いていた書き込みも、動作しなくなってしまい > ました。 私もシミュレータで動作するが、実機でだめ(あるいはFitできない)という 事象に最初はかなり戸惑いました。 シミュレータだと IF(CLK'event and CLK='1') then... ELSE はOKですが、実機FITはできずCLK'event...をIFの最後に持ってくる とうまくいくとか、process内部のIF条件に使っている信号をprocess センシティブリストから外してラッチを作ると、シミュレータでは 動作するが、実機ではラッチにならない(よく見るとFit時warning出 てた)などがありました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
34 | Re^2: CPLDで8ビットのラッチ | コメント数: 0件 |
ぶるる | bulru@kofu.or.jp | 2001/01/16 (火) 14:02 | |
> CPLDのピンで双方向はできます。 > ただし、出力は3ステートのバッファにしておく必要があります。この場合、出力中かどうかにかかわらずデータピンの状態がデータ入力に入ります。ですから、出力を3ステートで禁止したときに入力状態になります。データ入力もできなくなったというのは、おそらく出力されっぱなしになっているのではないかと予想されます。 > > 私はABEL派なので、VHDLで入出力ポートを作ったことはありませんが、ソースを送っていただければもう少しわかるかと思います。 ご教示有難う御座います、今後の事も考えてもう少し自分で「あがいて」見ます。もし出来なかった場合は、「あがく」前と、後のVHDLソースを送付させて頂きます。 余談ですが、ABELは12~13年くらい前にインテル製の16V8相当品でメモリーインターリーブ用のアドレス生成器とバーストアクセス用メモリインターフェイスを作成するために使いましたが、なかなか馴染めずその後は回路図入力一本でやって来ましたが、時代の流れに送れまいと1ヶ月前位からVHDLにチャレンジしています。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
33 | WebPACKのUCFファイルでNET名をCase Insensitiveにするには | コメント数: 2件 |
ももせ | hirofumi@momose.com | 2001/01/15 (月) 00:33 | |
http://www.momose.com/hirofumi/ | ||
WebPACKの最新版を使ってます。VHDL書いてるのですがどうもportに大文字で指定した ネット名がFitすると小文字になってしまうようで、同じく大文字で書いているUCFとマッチ せず怒られます。CaseInSensitiveに設定しろ、とメッセージが出てくるのですがこれは どこで設定するのでしょうか?ちょっと探したのですが、見つかりません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
32 | Re^4: 教えて下さい | コメント数: 0件 |
ササキ | sasahiro@sirius.ocn.ne.jp | 2001/01/12 (金) 20:00 | |
ももせさん、ありがとうございます。アナログ回路の本を見てオペアンプ 買ってきて組み立てたらOKでした、あの形の物はすべてデジタルICだと思っていました。もっともっと本とかを読んで知識を蓄えないと簡単な回路も作れないですね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
31 | Re: CPLDで8ビットのラッチ | コメント数: 1件 |
なひたふ | 2001/01/12 (金) 16:43 | |
CPLDのピンで双方向はできます。 ただし、出力は3ステートのバッファにしておく必要があります。この場合、出力中かどうかにかかわらずデータピンの状態がデータ入力に入ります。ですから、出力を3ステートで禁止したときに入力状態になります。データ入力もできなくなったというのは、おそらく出力されっぱなしになっているのではないかと予想されます。 私はABEL派なので、VHDLで入出力ポートを作ったことはありませんが、ソースを送っていただければもう少しわかるかと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
30 | CPLDで8ビットのラッチ | コメント数: 27件 |
ぶるる | bulru@kofu.or.jp | 2001/01/12 (金) 16:26 | |
XC9572とVHDLを使って、8桁の7セグ用データラッチを作ったのですが 初めて作ったのが上手く動作したのに気を良くして、今度はラッチした データをリードバックできるようにした(つもり??)のですが、 シミュレータでは正常に動作するのに、実機に実装すると読み込む事は もとより、今まで正常に動いていた書き込みも、動作しなくなってしまい ました。 CPLDのピンに、双方向の“inout”ってやつは使えないのでしょうか? それとも何か見落としがあるのか、どなたかご教示下さい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
29 | Re^7: mosFETでスイッチありがとうございます | コメント数: 0件 |
なひたふ | 2001/01/12 (金) 13:51 | |
>
スイッチとして使うのなら、VDS=0に近いですから電力損はあまり発生しません。 > この手のパワーMOSFETはオン抵抗がきわめて低いタイプだと思います。 > 一応発熱量と熱抵抗を計算したほうがいいですが、たぶん放熱器は要らないと思います。 Chipdocsで調べたところ、定格は55V、19A、0.070Ωだそうです。ちょっと十分すぎると思います。もう少し安くて小型のものでもよいと思います。 それから、FETのパラメータがものによってまちまちだと書きましたが、主にIdssや相互コンダクタンス、電流が流れ始める電圧の話です。説明不足で申し訳ありません。 それから、MOSFETを高速でON/OFFさせるのでしたら、今月のトラ技が参考になるかと思います。ちょうどその記事が載っていました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
28 | Re^4: 回路図も書ける掲示板って無理? | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/12 (金) 13:28 | |
>
たしかにここは字数制限とか厳しいですが、スレッド表示ができるのは気に入っています。 Niftyに聞いてみました。 スレッド表示で画像表示できるのは無いそうです。 う~む。やっぱスレッドはほしいですね。 じゃ! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
27 | Re^3: 教えて下さい | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 10:57 | |
http://www.momose.com/hirofumi/ | ||
>出力をテスターで測ってみると5Vが出っぱなしでHとLが切り替わらないので コパルのWebページって製品カタログないんですね。紹介だけしか みつかりません。ちょっとこれは改善してほしいですね。 5V出っぱなしってのはちょっと変ですね。これはエンコーダの 出力ですよね。一瞬でも変化しませんか? >(HC85ではHとLの比較ですものね、たぶん?) 4ビットのバイナリ比較器ですね。単にHとLを比較するもの ではありません。これを使う場所はカウンタ出力と設定値 の比較ではありませんか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
26 | Re^6: mosFETでスイッチ | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 10:37 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 > これはうろ覚えなのですが、何かの本に、パワーMOSFETはほとんどがエンハンスメント型だと書いてあったのでデプレッション型に関しては説明しませんでした。 > デプレッションだとVgs=0でOFFにならないので、スイッチングには不向きですね まれにデプレッションとエンハンスの間の子みたいなやつがあります。 でもほとんどエンハンスですね。確か、パワーMOSはエンハンスのほうが 作りやすかったのだと記憶しています。ちがったかな。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
25 | Re^6: mosFETでスイッチありがとうございます | コメント数: 1件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 10:35 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 >裕を持ったものの使用をとのことでHUF75309P3(VDSS=55V,ID=75A,PW=325W) > を候補として考えておりますが電源がニッカドなためモーター駆動以外の負荷は > 極力抑えたいのですがゲート・ソース間の抵抗は省略できるのでしょうか? ゲートソース間の抵抗はおそらくスピードアップ用です。ゲートの電荷を 早く引き抜くためについていると思われます。高速動作(特に数十KHz以上) させなければなくても問題ないでしょう。 > また、連続駆動が10秒程度なのですが放熱など考えた方がよろしいのでしょうか? > また質問になってしまいましたが・・・ご教授くださいませ。 スイッチとして使うのなら、VDS=0に近いですから電力損はあまり発生しません。 この手のパワーMOSFETはオン抵抗がきわめて低いタイプだと思います。 一応発熱量と熱抵抗を計算したほうがいいですが、たぶん放熱器は要らないと思います。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
24 | Re^5: mosFETでスイッチありがとうございます | コメント数: 2件 |
むすか | keseseso@pop17.odn.ne.jp | 2001/01/12 (金) 10:07 | |
なひたふ様 ももせ様ありがとうございます 12v程度のモータ駆動を考えておりエンハンスメントタイプを考えております 余裕を持ったものの使用をとのことでHUF75309P3(VDSS=55V,ID=75A,PW=325W) を候補として考えておりますが電源がニッカドなためモーター駆動以外の負荷は 極力抑えたいのですがゲート・ソース間の抵抗は省略できるのでしょうか? また、連続駆動が10秒程度なのですが放熱など考えた方がよろしいのでしょうか? また質問になってしまいましたが・・・ご教授くださいませ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
23 | Re^3: 回路図も書ける掲示板って無理? | コメント数: 1件 |
なひたふ | 2001/01/12 (金) 09:51 | |
> >
niftyの場合にはcgiの使用が制限されていまして、このモードの掲示板かまたは有料(月300円)のお絵かき可能な掲示板かしかないです。 > > フリー掲示板だと広告がうるさくなりますしなぁ(^^;) > > あ。ぼくとこに置けばいいんじゃん。ちょっとWWW管理の人に相談してみるです。 あ、いえいえ。 たしかにここは字数制限とか厳しいですが、スレッド表示ができるのは気に入っています。 Niftyでcgi使えるサービスは、member.nifty.ne.jpじゃなくてhomepage1にでアドレス変わってしまうし、とよぞうさんに借りるのも申し訳無いです。tcupのお金を払う掲示板では絵がかけるそうなので、それを検討してみます。2年間で4800円ならぜんぜん問題ないです。でも、いきなり画像は貼れたりするのはあまりよろしくないかもしれません。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
22 | Re^5: mosFETでスイッチ | コメント数: 1件 |
なひたふ | 2001/01/12 (金) 09:42 | |
> >
VGS=0でID=最大になります。スイッチとしてTRの置き換え(飽和領域使用)であればどっちでもいいのかな。 説明不足で申し訳ありません。 これはうろ覚えなのですが、何かの本に、パワーMOSFETはほとんどがエンハンスメント型だと書いてあったのでデプレッション型に関しては説明しませんでした。 デプレッションだとVgs=0でOFFにならないので、スイッチングには不向きですね |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
21 | Re^2: 教えて下さい | コメント数: 2件 |
ササキ | sasahiro@sirius.ocn.ne.jp | 2001/01/12 (金) 08:36 | |
ももせさん、IKEさん、ありがとうございます。まずエンコーダなのですが、2相出力じゃなく1相ですUPカウントだけに使います、コンパレーターは74HC85あたりを使うのだと思っていましたが、また別のものなのですね(アナログ用なのでしょうか)、出力をテスターで測ってみると5Vが出っぱなしでHとLが切り替わらないので(HC85ではHとLの比較ですものね、たぶん?)皆さんには初歩の事なのでしょうが、簡単な電子回路の作り方とかの本を読みながらハンダごてを握っている私には、すべて未知の世界です。ちょぼちょぼ知識を付けながら今製作中の物を完成させたいとおもいます。 でわ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
20 | Re^2: 回路図も書ける掲示板って無理? | コメント数: 2件 |
とよぞう | PXW07530@nifty.com | 2001/01/12 (金) 02:09 | |
http://www.morphyplanning.co.jp/ | ||
>
niftyの場合にはcgiの使用が制限されていまして、このモードの掲示板かまたは有料(月300円)のお絵かき可能な掲示板かしかないです。 > フリー掲示板だと広告がうるさくなりますしなぁ(^^;) あ。ぼくとこに置けばいいんじゃん。ちょっとWWW管理の人に相談してみるです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
19 | Re: 回路図も書ける掲示板って無理? | コメント数: 3件 |
とよぞう | PXW07530@nifty.com | 2001/01/12 (金) 02:07 | |
http://www.morphyplanning.co.jp/ | ||
> IKEです。 > どこかの掲示板で見たのですが、画像までできるものもあるのですね。 > これなら回路図もできるのに。。。と思ったものですから(^^; niftyの場合にはcgiの使用が制限されていまして、このモードの掲示板かまたは有料(月300円)のお絵かき可能な掲示板かしかないです。 フリー掲示板だと広告がうるさくなりますしなぁ(^^;) |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
18 | Re^4: mosFETでスイッチ | コメント数: 5件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 01:54 | |
http://www.momose.com/hirofumi/ | ||
> FETにはエンハンスメント型とデプレッション型(呼び方違ってるかも)というおおまかに2タイプあり、 > ざっくり、ゲートバイアスが正か負の違いがあります。エンハンスメント型はVGS=0でID=0、デプレションは > VGS=0でID=最大になります。スイッチとしてTRの置き換え(飽和領域使用)であればどっちでもいいのかな。 ん、デプレッションだとゲートソース電圧ゼロでもカットオフしてくれないのでTRの置き換えは無理っぽいすね。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
17 | 回路図も書ける掲示板って無理? | コメント数: 4件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/12 (金) 01:48 | |
IKEです。 どこかの掲示板で見たのですが、画像までできるものもあるのですね。 これなら回路図もできるのに。。。と思ったものですから(^^; でも、大変なのでしょうね? ちょっと、言ってみただけです。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
16 | Re: 教えて下さい | コメント数: 0件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 01:45 | |
http://www.momose.com/hirofumi/ | ||
ももせです。 普通、エンコーダの出力から回転方向とパルスを得るロジック回路を経て カウンタに入れます。回転方向でup/down制御、パルスをクロックにします。 で、コンパレータをどこにお使いでしょうか?カウントした数値の比較でしょうか? |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
15 | Re^3: mosFETでスイッチ | コメント数: 6件 |
ももせ | hirofumi@momose.com | 2001/01/12 (金) 01:42 | |
http://www.momose.com/hirofumi/ | ||
>
それから、気をつけなければならないのは、MOSFETのゲートにかける電圧です。単一のトランジスタはみな0.7Vくらいから動作しはじめますが、MOSFETでは2VくらいかけないとONしないものもありますので、選択には注意が必要です。とくに低電圧な回路では気をつけてください。 FETにはエンハンスメント型とデプレッション型(呼び方違ってるかも)というおおまかに2タイプあり、 ざっくり、ゲートバイアスが正か負の違いがあります。エンハンスメント型はVGS=0でID=0、デプレションは VGS=0でID=最大になります。スイッチとしてTRの置き換え(飽和領域使用)であればどっちでもいいのかな。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
14 | Re: 教えて下さい | コメント数: 3件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/12 (金) 01:39 | |
> 物を製作中なのですがコンパレーターとゆう物が分からずカウントさせることが > できずに困っています誰か簡単に手に入るメーカー、商品名をお教え下さい > 宜しくお願いします。 コンパレータは比較器です。+と-の2つの入力の電圧を比較し+のほうが高ければ 出力はオープンになり、プルアップ抵抗によりデジタル信号に変換します。 で、アナログ回路の本を買うことをお勧めします。 コンパレータの選択ですが、電源電圧、信号周波数などは最低限必要です。 もう少し情報をくださいな。 じゃ! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
13 | 教えて下さい | コメント数: 5件 |
ササキ | sasahiro@sirius.ocn.ne.jp | 2001/01/11 (木) 22:56 | |
始めまして、こんばんは!
佐々木といいます。 簡単な電子回路を今製作中なのですが、なにせ100パーセント素人な者で どうしても分からない事があり誰か教えて頂けたらと思い今キーボードを 叩いています。コパルのRF20F-100-100とゆうロータリーエンコーダを使い その出力をカウントさせ7セグで表示させるお恥ずかしいような 物を製作中なのですがコンパレーターとゆう物が分からずカウントさせることが できずに困っています誰か簡単に手に入るメーカー、商品名をお教え下さい 宜しくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
12 | Re^2: mosFETでスイッチ | コメント数: 7件 |
なひたふ | 2001/01/11 (木) 15:41 | |
それから、気をつけなければならないのは、MOSFETのゲートにかける電圧です。単一のトランジスタはみな0.7Vくらいから動作しはじめますが、MOSFETでは2VくらいかけないとONしないものもありますので、選択には注意が必要です。とくに低電圧な回路では気をつけてください。 それから、トランジスタの場合と違い、ゲート・ソース間電圧が高いほどDS間に多くの電流を流せます。トランジスタは電流で制御しますが、MOSFETは電圧で制御します。このへんの関係で比例定数が変わってくるかもしれないので、注意が必要です。 MOSに限らずFETは型番によっていろいろなパラメータがみな違い、トランジスタのように何でも使えるということが多くありません。さらには同じ型番のFETでも買った店によってパラメータが違うことがあります。ですから、十分な余裕をみて回路を設計するほうがよいです。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
11 | Re: mosFETでスイッチ | コメント数: 8件 |
なひたふ | 2001/01/11 (木) 15:31 | |
こんにちは > こちらのHPにございます「電子回路の豆知識」中のトランジスターを使ったスイッチングをそのままMOSFETに置き換えて考えてもよろしんでしょうか? スイッチング速度などを気にしなければ、置き換えても大丈夫です。MOSFETのゲートは2000pFくらいのコンデンサのようなものでして、そのコンデンサに十分な電荷がたまった時と電荷が十分に抜けたときに、ON/OFFします。このコンデンサに電荷がたまるまでの時間がMOSFETのスイッチング時間を決めています。如何に早く電荷を押し込むか、抜き取るかでいろいろな工夫はありますが、とりあえずでよいのなら、電源をつなぐだけでもスイッチングできます。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
10 | mosFETでスイッチ | コメント数: 9件 |
むすか | keseseso@pop17.odn.ne.jp | 2001/01/11 (木) 14:07 | |
遅ればせながら明けましておめでとうございます 以前、初心者の自分にも優しくお答えいただきまして 大変参考になりましたありがとうございました。 題名にもございますがFETを使ってスッチングしたいと思いますが こちらのHPにございます「電子回路の豆知識」中のトランジスターを使ったスイッチングをそのままMOSFETに置き換えて考えてもよろしんでしょうか? ご教授くださいませ。 よろしくおねがいいたします |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
9 | Re: CHIPDOCS | コメント数: 0件 |
clion | clion@fc.freeserve.ne.jp | 2001/01/11 (木) 10:38 | |
始めましてのこんにちは。皆様のスキルについていけず、草葉の陰から 恐れ戦いています(笑) > なかなか便利なサイトを見つけました。chipdocsといいます。 (snip...) 私はいつもChip Directory(http://www.xs4all.nl/~ganswijk/chipdir/index.htm) を利用しているのですが、なかなかこれも便利そうですね。 でもデータシートのダウンロードするために有料会員登録が必要と言うのは・・・・・ (^^; メーカのwebに電子であがっていない物までちゃんと電子化されていれば別ですが。 #どなたか登録されている方は居られますか? 是非使用感を (^^; |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
8 | Re: CHIPDOCS | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/09 (火) 22:00 | |
>
他にも、半導体のロゴのコレクションなどもあり、目の前にある半導体がなにをする石かを調べるのにはうってつけです。 貴重な情報,ありがとうございました。 いろいろ使えそうですね。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
7 | Re^6: USBマイコンもいよいよ | コメント数: 0件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/09 (火) 21:56 | |
>
入手はwww.chipcenter.comで探すとたまに出てきますね。デバッグは本気でやるには開発キットが必要なのかもしれませんが。 > とりあえずこれがお手軽では。 > http://usbsimm.home.att.net/ ↑は結構おもしろそうですね。 でも、趣味でやるには入手が大きな問題ですね。 いろいろ、ありがとうございました。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
6 | CHIPDOCS | コメント数: 2件 |
なひたふ | 2001/01/08 (月) 22:14 | |
http://www.chipdocs.com/ | ||
なかなか便利なサイトを見つけました。chipdocsといいます。 例えば、検索で392と入力すると、型番に392を含む半導体がいっぱい出てきます。2SB1392や1SS392やLM392Mや、全部で71件でてきました。クリッククリックしていくと、そのデータシートにたどり着けるそうですが、データシートをダウンロードするには入会しなければならないそうです。 入会しなくても、半導体がどのメーカーの何をしている石かを調べるのは無料です。 他にも、半導体のロゴのコレクションなどもあり、目の前にある半導体がなにをする石かを調べるのにはうってつけです。 http://www.chipdocs.com/logos/logotypes.html 他にも、各メーカーごとの名前のつけ方や、ICパッケージの規格寸法までいろいろなデータが満載です。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
5 | Re^3: 今年もよろしくお願いします | コメント数: 0件 |
JUN猫 | 2001/01/08 (月) 22:02 | |
数々の製作も完成に至っていないとゆー悲しい状況でわあるけど(笑) 今は会社のボードを出荷時にチェックするためのテストボードなんかを 作ろうとしておりまする。 Z80系のものって初めてなので、かなり動作が心配。 AKI-80を使っておるのじゃが、どうもPIOの出力が変らない ような気がしてならないのじゃ。 タイマとかが動いているようなので、一応CPUは動いているもようじゃが こんな状態で正月休みが終わってしまうところが口惜しい。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
4 | Re^2: 今年もよろしくお願いします | コメント数: 1件 |
なひたふ | 2001/01/08 (月) 21:47 | |
私の初秋葉原は今日でした。秋月と鈴商が閉まっていたので、閑散としております。若松でフラッシュROMを見たり、ノートパソコン用のDIMMを買ったりしてすごしました。 JUN猫さんは今年のはんだ付け初めということで、今年もいろいろな製作を楽しみにしております。 私はこの連休でユニバーサルプログラマのソフトウェアを改良していました。昨年末に海外の方に部品を買っていただいたので、英語と日本語を切りかえられるようにソフトウェアを修正をしていましたが、なんとも大変です・・・・!。各国語対応ソフトウェアは最初から各国語を意識して作っていかないとわけがわからなくなりそうです。 それから、ついに重い腰を上げてユニバーサルプログラマのFLASHROMへの対応をはじめました。一応読み書き消去はできるようになったのですが、いまいち不安定です。そこで、いままで後回しにしてきた不安定性への対応を迫られ、半分壊れたオシロとともに、これから徹底的なデバッグを行おうとしているところです。 というわけで、みなさん、今年もよろしくお願いします。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
3 | Re: 今年もよろしくお願いします | コメント数: 2件 |
JUN猫 | 2001/01/07 (日) 22:47 | |
JUN猫も初ハンダ付け&昨日は秋葉原買い出しの日であった。 新年は買い出しで始まるわな。やっぱ。 |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
2 | Re^5: USBマイコンもいよいよ | コメント数: 1件 |
とよぞう | PXW07530@nifty.ne.jp | 2001/01/07 (日) 11:44 | |
>
えっ、ダウンロード出来る? ・・・知らなかった。PDFも英語だし。(^^; > EZ-FXの入手とデバック方法はどうなのでしょうか? > ぜひ,お願いします。 入手はwww.chipcenter.comで探すとたまに出てきますね。デバッグは本気でやるには開発キットが必要なのかもしれませんが。 とりあえずこれがお手軽では。 http://usbsimm.home.att.net/ |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
1 | Re^4: USBマイコンもいよいよ | コメント数: 2件 |
IKE | ikehata@land.hokuriku.ne.jp | 2001/01/07 (日) 10:42 | |
>
EZ-FXの良いところは、USB経由でファームをダウンロード出来るところです。 > そんでもってポートもバスも出てるし、96MB/SのFIFO能力があるし、ひじょーに面白いものが出来そうな気がします。 えっ、ダウンロード出来る? ・・・知らなかった。PDFも英語だし。(^^; EZ-FXの入手とデバック方法はどうなのでしょうか? ぜひ,お願いします。 では! |
【新規投稿】 【この投稿にリプライ】 【投稿者削除】 |
より新しい記事へ
← 498 件の投稿中 100件目~1件目を表示 → より前の記事へ |
Copyright(C) 1999 NIFTY Corporation All Rights Reserved. |