なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: 評価用 Jtag server につながりません コメント数:  9件
  なひたふ 2004/03/22 (月) 23:17
こんばんは

> Mitoujtag for Linux から、Cable->Connect->TCP/IP と選んで、nahitafu.mydns.jpに接続しようとしましたが、つながりませんでした。アクセスが拒絶されているようです。どの辺に問題がありそうですか?

誠に申し訳ございませんが、サンプル回路のメンテナンスのため、
現在一時的に運用を中止させていただいております。
明日の午後には運用再開の予定です。

また、現状では稼動状況を表示しておりませんでしたが、
今後は何か稼動状況(とサンプル回路種別)を表示する方法を考えます。

なお、クライアント側では特にポートを空ける必要はございませんが、
場合によってはファイアーウォールなどの設定が必要になる可能性
があります。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 評価用 Jtag server につながりません コメント数:  10件
  vachouse 2004/03/22 (月) 18:18
Mitoujtag for Linux から、Cable->Connect->TCP/IP と選んで、nahitafu.mydns.jpに接続しようとしましたが、つながりませんでした。アクセスが拒絶されているようです。どの辺に問題がありそうですか? こちらのポートは開ける必要は無いんですよね?
以下、tcpdumpコマンドのログです。

[kelvino:〜:30] sudo tcpdump host 192.168.0.80 and nahitafu.mydns.jp
tcpdump: listening on en0
18:01:57.572278 192.168.0.80.32800 > p22187-adsau15honb8-acca.tokyo.ocn.ne.jp.1149: S 2559068399:2559068399(0) win 5840 <mss 1460,sackOK,timestamp 3035344 0,nop,wscale 0> (DF)
18:01:57.631981 p22187-adsau15honb8-acca.tokyo.ocn.ne.jp.1149 > 192.168.0.80.32800: R 0:0(0) ack 2559068400 win 0

次に、telnetでは、
[slk-1:gtkjtag:10] telnet nahitafu.mydns.jp 1149
Trying 221.191.155.187...
telnet: connect to address 221.191.155.187: Connection refused

となります。
なお、pingは可能です。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^2: 【予告】激安コンフィグROM XCFシリーズ販売 コメント数:  0件
  GO 2004/03/21 (日) 23:51
          GOです

自己RESですが、
今日3月21日、WEBPACKの6.201iバージョンをインストール
したらXCF01S、2Sが書きこみ可能なのがわかりました。

追記:

1.
当方のSPARTAN2基板や技術はまだ公開できるほどでは進んで
はいないです。確実になれば公開いたします。。

2.
現在は2cm厚さの、EAGLEの資料のを一生懸命呼んでます。また
、すでに使いこなしている方のサイト記事に注目してます。

3.
OLIMEXに注文するのは、あくまでもQFP−51基板より半田
つけが失敗しにくい(極小面積)からで、そういうパターンの基板が
ほしいからです。また、OLIMEXは安く、いずれ利用するだろう
から、まず1、2ヶ月後をめどに努力してます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re: 【予告】激安コンフィグROM XCFシリーズ販売 コメント数:  1件
  GO 2004/03/20 (土) 17:58
GOです

XCF01S、2SはWEBPACKのどのVERSIONから書きこみ可能なのでしょうか?

自分はXCF01Sは自作基板(15mmX30mm)に直付けして、0.3mm細線で配線
を完了しました。ねTで調べたらPIN配置は18V01と同じした。
1,2ヶ月後、自作SPARTAN150基板に利用したいと思います。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re: 単電源汎用オペアンプの測定 コメント数:  0件
  なひたふ 2004/03/20 (土) 15:29
こんにちは

> 電圧、約9V)から低下することで全体が停止しすることをオシロスコープのグランドをV-端子に接続し、調べました。
> オシロスコープのグランドはそのままで他の入力端子V+、In(+IN)、Il(-IN)について

なるほど、V+とV-が電源で、InとIlはオペアンプの入力ですね。
どのような機器で、どのような故障なのかよくわからないので、
的確な回答はできませんが、
そのオペアンプの出力電圧は正常時には9Vになっていて、
出力電圧が低下するとシステム全体がシャットダウンするのでしょうか?

故障の現象は、システム全体が止まってほしくないときに
止まってしまう、あるいはその逆ということでしょうか?

> 調べると、他の正常に動作する物との違いが解りませんでした。(5Vレンジにて測定)
何の違いがわからなかったのでしょうか?
回路の構成でしょうか?それとも、実際に信号を与えてみたときの、
オペアンプの動作に違いがなかったということでしょうか?

> 何か入力の違いがあるはずと思うのですが?調べ方についてご教授ください。
正常機器のIlとInは、それぞれ何Vですか?
故障機器のIlとInは、それぞれ何Vですか?
また、それぞれのVoutの電圧は何Vになっていますか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 単電源汎用オペアンプの測定 コメント数:  1件
  闇雲 2004/03/20 (土) 05:03
なひたふ様レスありがとうございます。
ご指摘のとおり全くの初心者ではありませんが、理解している物は簡単な強電シーケ
ンス制御回路程度です。
申し訳ないですが、再度質問させていただきます。
ある故障機器について調べていますと、オペアンプ(NEC UPC842C)の出力(OUT端子
電圧、約9V)から低下することで全体が停止しすることをオシロスコープのグランドをV-端子に接続し、調べました。
オシロスコープのグランドはそのままで他の入力端子V+、In(+IN)、Il(-IN)について
調べると、他の正常に動作する物との違いが解りませんでした。(5Vレンジにて測定)
何か入力の違いがあるはずと思うのですが?調べ方についてご教授ください。
オシロスコープのグランドをV-端子に接続した理由はテスターで測定すると近辺の
ICのグランド端子と導通状態であったためにそれで良いと素人判断しました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re^4: 質問です。すみません コメント数:  0件
  2SC1815 2004/03/19 (金) 23:37
 http://www13.ocn.ne.jp/~rabityan/
有り難うございました。
秋月に、回路図を使って良いか質問してみました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re^5: 質問です。すみません(英語) コメント数:  0件
  TAKE1 2004/03/19 (金) 20:33
> TAKE1さんwrote
> >英語翻訳で難しいのは、日英の表現の違いだと思います。
> >電気は流れるものなのか?通るものなのか?または他の表現なのか・・・。
> >私は知りません。
>
> 難しいですね。
> 読むのはすらすらできても、書くことができません。
> 元気のいいときは気合を入れて翻訳するけど、
> 疲れてくると滅茶苦茶な英語を書いています。
>
> 簡単な表現でも英語圏の人がどうやって言っているか
> なかなか思い出せませんね。
> そういえば、電流を流し込む時にはinjectっていってたような気がします。

翻訳機能を使うと、「流れる」「通る」は単純に「flow」「pass」になってしまい、
日本語表現なら問題なくても実際には正しくない英語を使っているのかもしれません。
ON/OFF位はさすがに分かりますが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^7: 電子工作関係のHP作りました。 コメント数:  4件
  TAKE1 2004/03/19 (金) 20:23
> > 電気は流れるものなのか?通るものなのか?または他の表現なのか・・・。
> > 私は知りません。
>
> 電気とは電流が流れたりする現象(エネルギーの移動)を指す大まかな言葉みたいですね。
>
> 電気代、電気自動車などです。
>
> 一般に電流は流れる又は通るなどといいます。
>
> 電流と電子の流れは逆なので、この点を入門編に書くと面白かったかもしれません。

確かに「電気」を現象と捉えると電気が流れるっておかしな表現ですね。
英語表現では、日本語同様に[flow][pass]で通用するのか・・・。
電流が来ている[来ていない]はThe current is on [off].だそうです。
スイッチのON/OFFのイメージでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re^3: 質問です。すみません コメント数:  1件
  なひたふ 2004/03/19 (金) 18:38
こんばんは 2SC1815さん。
ホームページ拝見しました。少しづつ更新されていますね。

可変安定化電源の制作で、
>回路図は、著作権上表示できません。
>A circuit diagram cannot be displayed on copyright.

とありますが、必要ならば著作権では最小限の引用は認められています。
例えば、
・この回路を作るときにこの部分が難しかった
・このはんだ付けには注意が必要
・このICの使い方は間違っている
・私ならこう作る
などということを書きたい場合には、引用はOKです。

また、他人の描いた回路図を見て写す場合でも、その回路があまりにも
有名な回路(たとえば、オペアンプやトランジスタの基本的な使い方)、
つまり誰でも知っているような回路なら見ながら写しても構わないでしょう。
(なお、画像ファイルそのものをコピーしたら問題になると思います)
ただし、元の回路の部品の定数に工夫が凝らされている場合もあります。
(すごく複雑な計算と経験を元に設計されたフィルタ回路とか)

要は、引用の仕方です。
自分なりの解説やページ全体に創作性が認められるなら
(自分が創作性を主張できる) ばOKなのです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^4: 質問です。すみません(英語) コメント数:  1件
  なひたふ 2004/03/19 (金) 18:36
また、どうしても気になることがあります。

>A circuit diagram cannot be displayed on copyright.

とありますが、回路図はschematicといいます。
diagramはどちらかというと作業の流れを表すような図面
(状態遷移図とか、フローチャートとか)のイメージがしますが
私だけでしょうか?

また、「著作権上」はby the copyright lawと言ったほうがいいでしょう。
(本当に著作権の上に表示してしまいそうです)

TAKE1さんwrote
>英語翻訳で難しいのは、日英の表現の違いだと思います。
>電気は流れるものなのか?通るものなのか?または他の表現なのか・・・。
>私は知りません。

難しいですね。
読むのはすらすらできても、書くことができません。
元気のいいときは気合を入れて翻訳するけど、
疲れてくると滅茶苦茶な英語を書いています。

簡単な表現でも英語圏の人がどうやって言っているか
なかなか思い出せませんね。
そういえば、電流を流し込む時にはinjectっていってたような気がします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 【予告】激安コンフィグROM XCFシリーズ販売 コメント数:  2件
  なひたふ 2004/03/19 (金) 17:58
FPGAで何かのアプリケーションを作成するとき、
コンフィグROMを用いないでFPGAをコンフィギュレーションする方法に
面倒臭さと物足りなさを感じたことはありませんか?

電源ONですぐにFPGA基板が動けば…と思ったことはありませんか?

もうその心配は不要です。

このたび、新しいコンフィグ用ROMである
XCF01SとXCF02Sを販売することにいたしました。
これらのROMはXC18V01、XC18V02と同等の容量を持ち、
従来と同じ方法でFPGAと接続することができます。
また、価格は従来のROMに比べるとぐっと安くなっています。
サイズも小さく、機器の小型化にも最適です。

XCFはフラットパッケージであり
万能基板上でお手軽に使うためにはピッチ変換基板が必要です。
しかしながら、汎用のピッチ変換基板を用いると
XCFシリーズのせっかくの低コストと小型化が損なわれてしまいます。

そこでピッチ変換基板も販売することにしました。
ただし、単純なピッチ変換基板では面白くないので、
16ピンDIPパッケージサイズに様々な機能を凝縮しました。

参考イメージ図
http://www.nahitech.com/temp/NP1005-img.png
http://www.nahitech.com/temp/NP1005-img2.png

発売はおよそ一週間後になります。
乞うご期待!!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^2: 質問です。すみません コメント数:  4件
  2SC1815 2004/03/19 (金) 13:49
 http://www13.ocn.ne.jp/~rabityan/
有り難うございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^13: SPARTAN100,150のROM起動? コメント数:  3件
  軽石  | nbf02247@nifty.ne.jp 2004/03/19 (金) 11:22
> 現在、eagleで自作spartan基板を考慮中です。。

いよいよ基板デビューですか・・・・。

> 軍資金が底を尽き、製作は休止中。。。皆さんの
> 助言に感謝してます。。また報告いたします。

実は私も計画中なんですよ。(^^;
なひたふさんのようにRAMとかは無しにして、スパルタンだけのシンプルなユニバーサルを
考えています。

私の方は資金はあるんですが、暇がなくて詳細設計があがりません。(>o<)
(掲示板に書く暇を割り振ればよいとは思っているのですが現実逃避してしまいます。(--;)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^12: SPARTAN100,150のROM起動? コメント数:  4件
  GO 2004/03/19 (金) 10:06
現在、eagleで自作spartan基板を考慮中です。。
軍資金が底を尽き、製作は休止中。。。皆さんの
助言に感謝してます。。また報告いたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re: 質問です。すみません コメント数:  0件
  軽石  | nbf02247@nifty.ne.jp 2004/03/18 (木) 17:23
こんにちは2SC1815さん

レスはちゃんとリプライ投稿でやりましょう。
今回の用に新しい話題の場合は関係ありませんが、注意してください。
あと、感謝のレスをするならば皆さん・・・とするのが良いです。
特定の人にだけレスすると無視された人が怒っちゃいますよ・・・・。(^^;

自分でWebを開いているのですから、掲示板も何とか用意できるはずです。
早く自分で掲示板を用意してください。
独り言のページを作るのもよいかもしれません。
悩みを解決するアドバイスを自分の掲示板でしてもらえるかも知れません。

> あの、ホームページに、秋月のキットの回路図を入れたいのですが、飽き好きの商品の中に、商品の回路図集のCDがあります。
> ホームページに、回路図を書いても良いのでしょうか?

写経したような回路図だとぎりぎりOKだと思いますが、CDの回路図をそのまま貼り付けのは
問題になりそうな気がします。

せっかく電子部品販売店が、HPへジャンプできないのは悲しいですね。
がんばってHPへジャンプできるようにしてください。

私が考える回路図とは?

部品同士の接続を図で示した物。回路図があれば同じ物を容易に作る事ができる。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 Re: 質問です。すみません コメント数:  5件
  なひたふ 2004/03/18 (木) 17:23
こんにちは。2SC1815(rabityan)さん

> あの、ホームページに、秋月のキットの回路図を入れたいのですが、飽き好きの商品の中に、商品の回路図集のCDがあります。
> ホームページに、回路図を書いても良いのでしょうか?

商用の回路図をWebに載せたら、秋月は回路図が売れなくなって
困ることになると思いませんか?
他人の書いた図面や文章をそのまま載せることや描き写すことは、
著作権上やってはいけないことです。
(ただし、一般に、著作権者が許可していれば別です。)

たとえば、2SC1815(rabityan)さんのページにも
>下記に該当するホームページからのリンクは禁止いたします。
>・このホームページ内の図形、画像ファイルを、ホームページに使う。
とありますが、2SC1815(rabityan)さんの書いた図面を
他人に勝手に使われたくないと思っていることでしょう。
他の人や秋月としてもそれは同じではないでしょうか?

なお、アイデアは著作権では保護されていません。
他人のアイデアであっても自分なりに理解し解釈し、
それに+αを加えて、図面を描き起こすことは問題ないでしょう。
よって、自分で創作したアイデアや回路図を載せるようにしましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 質問です。すみません コメント数:  7件
  2SC1815 2004/03/18 (木) 16:58
 http://www13.ocn.ne.jp/~rabityan/
あの、ホームページに、秋月のキットの回路図を入れたいのですが、飽き好きの商品の中に、商品の回路図集のCDがあります。
ホームページに、回路図を書いても良いのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 O-KENさん、TAKE1さんへ コメント数:  0件
  2SC1815 2004/03/18 (木) 16:53
 http://www13.ocn.ne.jp/~rabityan/
O-KENさんへ

ダイオードの回路図は、真ん中を昔は塗りつぶしているか、白くなっている事がありましたが、回路図の書き方が変更されて、白いところに線が一本入ってるようになりました。
そのほかにも、抵抗がギザギザから長方形に変わりました。

O-KENさんTAKE1さんへ

他のホームページにリンクしていることは気が付きませんでした。
有り難うございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: 単電源汎用オペアンプの測定 コメント数:  0件
  なひたふ 2004/03/18 (木) 07:06
はじめまして、初心者さん。
これまでに初心者さんは100人くらい見てきましたが、
いろんな初心者がいて、そのレベルは様々です。
オシロスコープが使えたり、故障解析をする時点で、
初心者ではないですよね?

一般的に、どの技術的な掲示板やメーリングリストでも、
「初心者」と名乗った場合、優しく教えてもらえるどころか、
かえって情報は出にくくなります。
まずは、この掲示板のガイドラインをよくお読みの上、
ユニークな名前で再度書き込みお願いします。

【ガイドライン】
http://www.nahitech.com/nahitafu/bbsguide.html

さて、なぜオシロスコープのGNDをV-につないだのですか?
(オシロスコープのGND=回路のGNDでは?)

>はそのままで他の入力端子(V+、In、Il)について調べると、
V+はわかりますが、InとIlが何を指しているのかわかりません。
また、どのように調べたのでしょうか?

もう少し、詳細な情報を出していただかないと
どのような回路なのか想像ができず答えにくいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 単電源汎用オペアンプの測定 コメント数:  1件
  初心者  | mk587-16@js4.so-net.ne.jp 2004/03/18 (木) 03:07
初めまして、検索にてたどり着きました。
弱電回路についての知識は皆無に近いです。
ある故障機器について調べていますと、オペアンプ(NEC UPC842C)の出力(OUT端子
電圧、約9V)から低下することで全体が停止しすることをオシロスコープのグランドをV-端子に接続し、調べました。
オシロスコープのグランドはそのままで他の入力端子(V+、In、Il)について調べる
と、他の正常に動作する物との違いが解りませんでした。(5Vレンジにて測定)
何か入力の違いがあるはずと思うのですが?調べ方についてご教授ください。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^6: 電子工作関係のHP作りました。 コメント数:  5件
  軽石 2004/03/17 (水) 23:22
> 電気は流れるものなのか?通るものなのか?または他の表現なのか・・・。
> 私は知りません。

電気とは電流が流れたりする現象(エネルギーの移動)を指す大まかな言葉みたいですね。

電気代、電気自動車などです。

一般に電流は流れる又は通るなどといいます。

電流と電子の流れは逆なので、この点を入門編に書くと面白かったかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re^4: 電子工作関係のHP作りました。 コメント数:  0件
  があー 2004/03/17 (水) 23:10
> ダイオードの回路表記って真ん中に線があっていいんですかね・・・
> 一般的には無いと思うんですが。

塗りつぶすか、中に線があるのが正式です(でした)。
1999年のJIS C 0617では中に線があるものだけになったようですが・・・
他の記号もいろいろ変わってしまったようです。

http://www.nagatac.co.jp/zukigo/pdf/zukigo.pdf
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re^5: 電子工作関係のHP作りました。 コメント数:  6件
  TAKE1 2004/03/17 (水) 22:59
Exciteで翻訳する場合、日本語をそのまま翻訳するのでは無く、簡単な日本語に直してから
翻訳すると変な英語になりにくいと思います。
長い文章を一気に翻訳させるのではなく、こまめに区切った方が良いと思います。

英語翻訳で難しいのは、日英の表現の違いだと思います。
電気は流れるものなのか?通るものなのか?または他の表現なのか・・・。
私は知りません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 Re^4: 電子工作関係のHP作りました。 コメント数:  7件
  TAKE1 2004/03/17 (水) 22:36
> > 僕も気づいてたんですが、なんか直リンに見えて若干気持ち悪いですね。
> > リンク先HPのオーナーさんが日本用に作ろうとしているなら、期待できますね ^^。

もともと日本語・英語の両用サイトです。
開いたページ左上にある「Menu」-「TOP」でトップにいけます。そこで日本語・英語の
どちらのページにも飛べます。日本語ページとレイアウトと写真が一緒だったので気づきました。

>
> たびたびすいません。
>
> 電子工作入門編
> ダイオードの回路表記って真ん中に線があっていいんですかね・・・
> 一般的には無いと思うんですが。
>
> そういう表記方法が無いとは言い切れないので、
> もしあるんだったら、粗探しすいませんでした。 _| ̄|○

私はむか〜し見た記憶があります。「ラジオの製作」だったかな?

回路記号などは部品名・記号・説明などを表罫で区切ると見やすいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^3: 電子工作関係のHP作りました。 コメント数:  9件
  O−KEN 2004/03/17 (水) 21:25
> 僕も気づいてたんですが、なんか直リンに見えて若干気持ち悪いですね。
> リンク先HPのオーナーさんが日本用に作ろうとしているなら、期待できますね ^^。

たびたびすいません。

電子工作入門編
ダイオードの回路表記って真ん中に線があっていいんですかね・・・
一般的には無いと思うんですが。

そういう表記方法が無いとは言い切れないので、
もしあるんだったら、粗探しすいませんでした。 _| ̄|○
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^2: 電子工作関係のHP作りました。 コメント数:  10件
  O−KEN 2004/03/17 (水) 21:20
> トップで
> リンク禁止事例で
> ・フレーム内でページが展開されるリンク等
> を明示しておきながら、電子工作-事例集で他の場所の安定電源製作例がフレーム展開されるのは
> いかがなものかと。
>
> また、左フレームの「楽しい電子工作」をクリックするとフレームが増殖します。


僕も気づいてたんですが、なんか直リンに見えて若干気持ち悪いですね。
リンク先HPのオーナーさんが日本用に作ろうとしているなら、期待できますね ^^。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re: 電子工作関係のHP作りました。 コメント数:  11件
  TAKE1 2004/03/17 (水) 20:31
トップで
リンク禁止事例で
・フレーム内でページが展開されるリンク等
を明示しておきながら、電子工作-事例集で他の場所の安定電源製作例がフレーム展開されるのは
いかがなものかと。

また、左フレームの「楽しい電子工作」をクリックするとフレームが増殖します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^4: verilog の算術シフト演算子について コメント数:  0件
  T4 2004/03/16 (火) 18:25
ありがとうございました。

> XSTガイドによると以下のようになっているようです。
>
> >>>符号付きシフト
> >> シフト
>
> となっているので考え方としては正しいと思います。

実装系の問題のようですので、
使用にあたっては 予めの確認等を行うなど、注意して使用するように致します。

今回は的確なアドバイスを頂き、どうもありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re: 電子工作関係のHP作りました。 コメント数:  0件
  なひたふ 2004/03/16 (火) 12:25
こんにちは、2SC1815(rabityan)さん。

ホームページ拝見しました。

> 早速拝見しに行きましたがIE6.0ではうまく見えないみたいです。
> Webは転送後にブラウザでの確認は必須です。

IE5ですが、見えたページはトップページ、販売店一覧、
お勧めHPリンク、チャットルームです。
肝心の電子工作関係のコンテンツが見当たらないのですが・・

>これから、かなり大きなページにしていきます。
期待しています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re^3: verilog の算術シフト演算子について コメント数:  1件
  軽石  | nbf02247@nifty.ne.jp 2004/03/16 (火) 09:53
> ところで、
>  ">>>"=算術シフト
>  ”>>”=(論理)シフト
> と言う、私の解釈は自体は正しいのでしょうか?

XSTガイドによると以下のようになっているようです。

>>>符号付きシフト
>> シフト

となっているので考え方としては正しいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re: MITOUJTAGの未サポートJTAGケーブルについて コメント数:  0件
  なひたふ 2004/03/16 (火) 00:50
こんばんは

> プリンタポートで使用し、専用のダウンロードソフトを使用しているので、考えられるのは、インテリジェントではないが、コマンドでJTAGを動かしているのではないかと思います。

ひょっとすると、プリンタポートをできるだけ高速に動かそうとして、
ECPモードや、EPPモードを使用しているかもしれません。
XILINXにもそのような高級なケーブルが存在しています。

> 運良く、GENERICなプリンタポートのJTAGであれば、各PINの対応がわかればいいと思うのですが、そうでない場合、やはりサポートは難しいでしょうか?

MITOUJTAG(の中のlibjtag)は各種のケーブルに対応させるため、
ケーブルの部分をAPIで分離する構成になっています。
ケーブルのドライバとしては、現在、
パラレルポートやTCP/IP経由のアクセスが実装されていますが、
パラレルポートはダイレクトにアクセスしているのに対し、
TCP/IPはそれを転送する仮想的なケーブルです。
このように、異なる仕様のケーブルでも全く同一のlibjtagから
アクセスできるようになっています。

ニーズがあればいろいろなケーブルの
ドライバを作成していこうと思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: verilog の算術シフト演算子について コメント数:  2件
  T4 2004/03/16 (火) 00:39
ご回答ありがとうございます。

>
> 確認した結果などが制限で書かれていないのではっきりとはいえませんが
> クセかもしれません。
>
具定例としましては、(InPut=16'h8000の場合)
 wire [15:0] wInPut;
の宣言では、 Out1,Out2共に16'h4000です。
他方
 wire signed [15:0] wInPut;
の宣言では、 Out1,Out2共に16'hc000です。
これは、Xilinx付属のModelSimでも同様の結果で、宣言及びCAST($signed())
のみが有効に機能するようで、">>>"と”>>”は動作に差異が在りませんでした。

> 型をきちんと把握して、使いたい時と使いたくない時をうまく使い分けてやればよいと思います。
>
> wire signed [15:0] wInPut;
> wire [15:0] wInPut_ns;
>
> と宣言してwireを2本宣言すればなんとかなりそうな気がします。

はい、それで大丈夫です。

ところで、
 ">>>"=算術シフト
 ”>>”=(論理)シフト
と言う、私の解釈は自体は正しいのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re: 電子工作関係のHP作りました。 コメント数:  0件
  軽石 2004/03/15 (月) 22:43
こんにちは2SC1815さん

挨拶って気持ちいいですよね。

> リンク集に乗せて頂きたいのですが、宜しいでしょうか?
> これから、かなり大きなページにしていきます。
> 宜しくお願いします。

早速拝見しに行きましたがIE6.0ではうまく見えないみたいです。
Webは転送後にブラウザでの確認は必須です。

昔は根輔で見ていたのですが、殆どの人はIEだと思います。
すべてのバージョンは見る必要はないと思いますが、根輔及びIEおまけでOperaでの
表示確認はされた方がよろしいと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 電子工作関係のHP作りました。 コメント数:  14件
  2SC1815 2004/03/15 (月) 21:22
 http://www13.ocn.ne.jp/~rabityan/
リンク集に乗せて頂きたいのですが、宜しいでしょうか?
これから、かなり大きなページにしていきます。
宜しくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 MITOUJTAGの未サポートJTAGケーブルについて コメント数:  1件
  himamura 2004/03/15 (月) 11:52
お世話になります。先日FLASHについて質問した者です。

ActelのFPGAでMITOUJTAGを使用しようとして、ふとJTAGケーブルを分解したら、中にバッファだけでなく、小規模なActelのFPGAが入っておりました。

てっきり、他社のJTAGケーブルと同程度のバッファと思っていたので分解してハタと困ってしました。

プリンタポートで使用し、専用のダウンロードソフトを使用しているので、考えられるのは、インテリジェントではないが、コマンドでJTAGを動かしているのではないかと思います。

運良く、GENERICなプリンタポートのJTAGであれば、各PINの対応がわかればいいと思うのですが、そうでない場合、やはりサポートは難しいでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re: verilog の算術シフト演算子について コメント数:  3件
  軽石 2004/03/15 (月) 07:59
こんにちはT4さんn

> Xilinxのツールを使っていますが、算術シフト演算子の働きがイマイチのような気がします。
>

省略


>  wire signed [15:0] wInPut;
> とすると、今度はどちらも算術シフトになるようです。

確認した結果などが制限で書かれていないのではっきりとはいえませんが
クセかもしれません。

>
> 結果からみると、宣言時の "signed" だけが影響しており、
> ”算術シフト演算子”は普通の”シフト演算子”と同じ動きのようにしか見えませんが、これは実装系(ISE)の問題なのでしょうか?

コンパイラの癖だと思います。

wInPutの型が優先されている為だと思います。

> ちなみに、現象を確認したツールは "ISE6.2"です。
> -----
>
> 記述に??な所はありますが、算術シフト自体は期待通りのようですので困っているという事はありませんが、どう扱うのが正しいのか気になりましたもので質問させて頂きました。

型をきちんと把握して、使いたい時と使いたくない時をうまく使い分けてやればよいと思います。

wire signed [15:0] wInPut;
wire [15:0] wInPut_ns;

と宣言してwireを2本宣言すればなんとかなりそうな気がします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 verilog の算術シフト演算子について コメント数:  4件
  T4 2004/03/15 (月) 00:10
Xilinxのツールを使っていますが、算術シフト演算子の働きがイマイチのような気がします。

具体例ですが、

module A_Shift (InPut, Out1, Out2)

input [15:0] InPut;
output [15:0] Out1,Out2;

 wire [15:0] wInPut;
assign wInPut = InPut;

assign Out1 = (wInPut>>8);
assign Out2 = (wInPut>>>8);

endmodule
では、どちらも普通のシフトのようです。
で、
 wire signed [15:0] wInPut;
とすると、今度はどちらも算術シフトになるようです。

結果からみると、宣言時の "signed" だけが影響しており、
”算術シフト演算子”は普通の”シフト演算子”と同じ動きのようにしか見えませんが、これは実装系(ISE)の問題なのでしょうか?
ちなみに、現象を確認したツールは "ISE6.2"です。
-----

記述に??な所はありますが、算術シフト自体は期待通りのようですので困っているという事はありませんが、どう扱うのが正しいのか気になりましたもので質問させて頂きました。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 バウンダリースキャンテストまとめ コメント数:  0件
  せき  | seki@sky.email.ne.jp 2004/03/13 (土) 23:56
なひたふさん、こんばんは
本日、サイクロンをブランク状態で、EXTEST命令をテストしました。
はじめて、EXTEST命令で出力したPINのH/L情報を、グラフィック画面上で、
得ることができました。ただし、グラフィック画面上は入力モードでした。
たぶん、ファンクションジェネレータモードでも正しく読めると思います。
ただし、制御が有効なのは最後のピンだけでした。

これまでのテスト結果をまとめますと、
MitouJTAG V0.0.8 の簡易ファンクションジェネレータを使用して、
サイクロンの載った基板のバウンダリースキャンテストは、
以下の点に注意すれば可能だと思います。

1)自由に制御するためには、サイクロンをコンフィグレーションしない。
2)テストベクター(出力信号)は、毎回全ての出力ピンに対して定義する。
 (この仕様でも、特に問題ないような気がします)

後、当然ですが、テストベクター(出力信号)の作成と結果の判断が必要です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re: ザイリンクスからアルテラへ コメント数:  0件
  軽石 2004/03/13 (土) 16:28
こんにちはアルテラ初心者さん

まず最初にトップページにある「掲示板で質問をされる方へのお願い」を一読してください。

ころころ名前を変わるような人はあまり信用されません。
ここの掲示板だけでもかまわないので、ユニークでずっと使えるハンドル名を名乗りましょう。

> ザイリンクスでは各モジュールごとにファイル(***.vhd)を作成し、別のモジュール(別ファイル:***.vhd)でcomponent文を使い作成したモジュールを呼び出し接続を行なってきました。
> このようなことは、アルテラのツールでは可能なのでしょうか?

可能です。

> 可能であれば、教えていただけないでしょうか?

今手元に動く環境がないので的確にはできませんが、コンパイルするファイルを追加するような設定を行えば可能だったと思います。

デフォルトだと1ファイルだけしか指定されていないので、プロジェクトにソースファイルを追加するようにすれば問題なくできるはずです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 ザイリンクスからアルテラへ コメント数:  1件
  アルテラ初心者 2004/03/13 (土) 15:57
お世話になります。

今までは、VHDLを使いザイリンクスの無料ツールで開発をおこなっていました。今回アルテラのツール(MAX+PLUS2)を使用することになり悩んでいることがあります。

ザイリンクスでは各モジュールごとにファイル(***.vhd)を作成し、別のモジュール(別ファイル:***.vhd)でcomponent文を使い作成したモジュールを呼び出し接続を行なってきました。
このようなことは、アルテラのツールでは可能なのでしょうか?
可能であれば、教えていただけないでしょうか?

よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re^3: 簡易ファンクションジェネレータ動作しました。 コメント数:  1件
  せき  | seki@sky.email.ne.jp 2004/03/13 (土) 00:21
早速の回答ありがとうございます。

サイクロンは、プログラムした状態でテストしています。
今度、ブランク状態でテストしてみます。

> EXTESTボタンを押した後マウスでクリックする方法でも
> ピンを操作してみてください。

この、方法でもテストしてみましたが、最後の1ピンだけは、
制御できています。(外部LEDで確認)
しかし、グラフィック画面は、全く変化が見られません。
ファンクションジェネレータでの動作と一致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re: 自己解決しました コメント数:  0件
  なひたふ 2004/03/12 (金) 22:01
はじめまして

MITOUJTAGをご使用いただきまして誠にありがとうございます。
iPADの中が見れたようでおめでとうございます。
EXTESTやINTESTは、デバイスに予期しない信号を出力させますので、
十分にお気をつけてお使いください。

> PXA255のBSDLファイルのインストラクションにINTEST命令が無いからなんですね。
> 更に、INTEST命令はJTAGのオプション扱いのため、必ずしも実装されている
> わけではないんですね。

ちょっとびっくりしてしまいますよね。
INTEST命令が実装されていないことをエラーメッセージで、
出るようにしたほうが分かりやすくていいですね。

どのようなご感想でも貴重なご意見です。
皆様の使用レポートが、今後の改良にとても参考になります。

これから、より一層改良を重ねて実用的なものにしていきますので、
ご活用ください。

また、不具合や要望がありましたら遠慮なくお知らせください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 自己解決しました コメント数:  1件
  オプティ− 2004/03/12 (金) 21:38
自己解決しました。

PXA255のBSDLファイルのインストラクションにINTEST命令が無いからなんですね。
更に、INTEST命令はJTAGのオプション扱いのため、必ずしも実装されている
わけではないんですね。

JTAGの勉強を始めたばかりでして、板汚ししてすみませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Mitou JTAG 素晴らしいです! コメント数:  2件
  オプティ− 2004/03/12 (金) 21:15
iPAQのH1920にXILINXのパラレルJTAGケーブルで接続しました。
H1920のCPUはPXA255ですのでIntelのサイトからBSDLファイルを持ってきて、
パッケージ名称がなひたふさんのと異なっていたため、一部修正し使用しました。

そして起動。本当にピンの情報が取得できました。感激です。

調子に乗ってINTESTにして信号をいじろうと思ったところ、
Error occured at device. position 1 [pxa255_jtag_A0]
と表示され信号を変化させることが出来ませんでした。

何がいけないのでしょうか?

Windows版のVer 0.0.8を使用しています。
Spartan2ではINTEST操作も問題なく出来ましたので、
環境的な問題ではないと思います。
Linux版は試していませんが、そちらならうまくいく可能性があるのでしょうか?
どなたかわかる方がいらっしゃったら教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re^5: トラ技4月号Get! コメント数:  0件
  タンタン 2004/03/12 (金) 20:02
タンタンです。

> > ついもう1冊買ってしまいました。
>
> 近くの書店ではどうやら絶滅しているようです。
> いつもだとしばらくは残っているのに今月号はすでにない・・・・。(--;

10日に1冊、11日に1冊買いました。11日のほうが沢山つんでありました。
今日の夕方に覗いてみたら15冊ぐらい積んでありましたよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 Re^10: SPARTAN100,150のROM起動? コメント数:  0件
  軽石  | nbf02247@nifty.ne.jp 2004/03/12 (金) 17:23
> (私の半田こては宝山の15Wの、プロの方が勧めてくれたものです。)

型番がかかれていないのでどのタイプをお使いかわかりませんが、電子工作用では
QFPなどのICを使うにはちょっと厳しいかもしれません。

> 今回、「べた半田づけで失敗した半田もれやショートを確実に回復させる」
> よい方法

1.まず目視。
2.ショートしているであろうポイントを想像します。
  (問題のピンとGNDがどうやってショートしているか想像します。)

3.見た目はないが、隣とショートしていると思われる場合はフラックスをICのピンにたっぷりと塗り、はんだをもう一度流します。
 (ショートしているピンがはんだで一度くっつくようにします)

4.余分なはんだを吸い取り線で取り除きます。
  (コテ先が綺麗で温度管理ができれば吸い取り線が無くてもOKになります)

5.最終手段としてはICのショートしているピンを浮かせた後、ピンの間にシールを張って絶縁し、ピンから必要な先へジャンパーするという手もあります。
  (これは最後の手段です。ピン間のICを引っ張りだすためにほかのピンを壊す場合があります)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 Re^11: SPARTAN100,150のROM起動? コメント数:  5件
  なひたふ 2004/03/12 (金) 17:15
GOさんの報告によると、VCCやGNDとの接触が原因ということですが、
それはブリッジですね。
目視の前に洗浄を行うと、ブリッジを発見しやすくなります。

ブリッジは、フラックスが足りないと、よく起こります。
フラックスは新しい半田を溶かせば自然に補充されますので、
じゃんじゃん半田を投入してください。

またQFPのピンはL字に曲がっているので、
基板に平行な部分と垂直な部分がありますが、
垂直な部分に残った半田は発見しにくいものです。

半田が多すぎると垂直な部分にブリッジが発生しやすくなるので、
常に新しい半田を補充すると同時に、適度に古い半田を減らすのも肝心です。

コツは大きな半田球(局所はんだ槽とでも呼びましょう)を流すことです。
フラックスが十分にあれば、半田の端っこには表面張力が働いて、
ブリッジや半田カスを掃除してくれます。
大きな半田球を重力で流すようにすると、
カスやブリッジを残しにくくなります。
慣れてくると、半田吸取り線をほとんど使わなくても
QFPの半田付けが綺麗にできるようになります。

と、いろいろ書いてみましたが、やはり慣れるまで半田付けを
繰り返してコツを体得するしかないと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^10: SPARTAN100,150のROM起動? コメント数:  6件
  なひたふ 2004/03/12 (金) 17:01
> このかすかな0Vショートをなくして、早く復活させたいです。ベタ半田づ
> けでやりました。

回復させるには、もういちど溶かしましょう。

私のは、自動温度調整が内蔵されていて、
何ワットとは簡単にはいえないのですが40Wくらいだと思います。
ベタ付けの場合は大量の半田を短時間に溶かす必要があるので、
小さなコテでは、やりにくいです。

半田は、中〜高級なものを使ってください。
私は1mmφくらいのスパークル半田を使っています。

その際、部品の裏に半田のカスが入っているといけないので、
大きな半田玉でよくカスを吸い取ってください。

半田は液体です。重力と表面張力にしたがって流れます。
ベタ付けの際には大きな半田の玉をつくり、それが重力に従って流れる
ように少し傾けて上から下へ流すようにするといいです。

しかし、フラックスが足りないと、流れが悪くなるので、
半田の玉には常に新鮮な半田を補充します。

半田は吸取りすぎると、今度は接触不良になります。

また、表面実装の基板は、捻る、曲げるなどの力が加わると
半田がはがれることがありますので気をつけてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re^9: SPARTAN100,150のROM起動? コメント数:  8件
  GO 2004/03/12 (金) 16:22
軽石さんへ。。ご親切、ありがとう。

このかすかな0Vショートをなくして、早く復活させたいです。ベタ半田づ
けでやりました。(私の半田こては宝山の15Wの、プロの方が勧めてくれ
たものです。)ハッコウなどのすぐれた半田こてを買おうとは計画しており
ます。

今回、「べた半田づけで失敗した半田もれやショートを確実に回復させる」
よい方法がほかにもあったら、アマチュアのためにご紹介ください。。もう
一度、30W(以前はたしか、15Wか20W)でベタ半田をやると直せるので
しょうか? 。。。よろしく。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re^8: SPARTAN100,150のROM起動? コメント数:  9件
  軽石  | nbf02247@nifty.ne.jp 2004/03/12 (金) 16:00
とりあえず原因がわかっておめでとうございます。

> 後閑氏や他サイトで推奨されている、「ベタ半田つけし、吸い取り線で吸い
>とって完成させる」方法です。。

ところでフラックスは使われていないのでしょうか?
これがあるのと無いのでは雲泥の差ですが・・・。

あとお使いのはんだコテはなにをお使いですか?
フラットICのはんだ付けは温度が安定していればより確実にはんだができます。
HAKKOの温度調節可能なはんだコテが一万六千円程度で売られていますので
FPGAなどを使われるのでしたらご購入をお勧めします。

>
> このショートを早く直して、また報告させていただきます。。

これらのショートはI/OピンのショートでしょうかそれともFPGAのシステムが
使用するピンでしょうか?
(電源や、VCCI/Oピン?)

もしもロジックのI/Oピンであればとりあえず出力をショートしてしまっているのと
あわせれば問題なくなるはずです。
GNDとショートしていれば出力を0にすると出力ロジックとPIN状態が一致します。
ピンに空きがあるのであればこのような対応方法もあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^7: SPARTAN100,150のROM起動? コメント数:  10件
  GO 2004/03/12 (金) 15:17
なひたふさんの御親切、新ROM等、まことにありがとうございます。。

今日、午前中の実験で自分の基板はショートを起こしていることがわかりました。

報告:

以前EZ-FPGAをオプテマイズサイトで買ったとき、ここが提供していたEZ-FPGA
の144全PINの状態を示すEZFTEST.EXEを思い出し、ひとまずこれで検査したら、
私の予想したとおり、いくつかのPINが3.3Vでショートしてました。また、別
の自作基板では0Vショートしてました。。。いずれも、後閑氏や他サイトで
推奨されている、「ベタ半田つけし、吸い取り線で吸いとって完成させる」方法
です。。

このショートを早く直して、また報告させていただきます。。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re^3: トラ技4月号Get! コメント数:  0件
  個人研究者 2004/03/12 (金) 10:37

雑誌の付録にコンピュータが付く日が来たのですね。

私のところはまだ入荷してないようです。本屋さんに「取り置き」をお願いしてあるので1冊は確実に手に入りますが、考えてみると2冊にしておけばよかったかなと思っています。

本屋さんに取り置きをお願いしたときには、とても怪訝な顔をされました。

いつも売れ残りがちな「トラ技」だから無理もないと思いますが、もしかすると4月号はバカ売れ?


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re^4: トラ技4月号Get! コメント数:  1件
  軽石  | nbf02247@nifty.ne.jp 2004/03/12 (金) 09:25
> ついもう1冊買ってしまいました。

近くの書店ではどうやら絶滅しているようです。
いつもだとしばらくは残っているのに今月号はすでにない・・・・。(--;

1冊は入手しているのですが、会社用にもう一冊と思ったのですが、もう一冊は
難しいかもしれません。(ここあたり私も大人買いの一人になっていますね。(^^;)

中身は要らないんですが、本が欲しい・・・・。(^^;
(大人買いにした人から譲ってもらうにしても交通費を考える(私は横浜在住)と
 買った方がお得になってしまいます)

OFF会でもあればその機会に譲ってもらうという手はあると思うのですが・・・。
(とさりげなくきっかけを作ろうとしたりする。(^^;)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^2: 簡易ファンクションジェネレータ動作しました。 コメント数:  2件
  なひたふ 2004/03/12 (金) 01:54
確かにこのあたりの動作はとても怪しいです。

MITOUJTAGの、アルゴリズムで、
「前回受信したビット列を元に次に送信するビット列を、
作成する」という方法に問題があるのかもしれません。

ソフトウェアで指示したはずの部分がデバイスの都合で書き換えられ、
以降の操作で反映されなくなってしまうからです。

この件は何とかうまい方法を考えて解決を試みます。

> 入力ピンから出力したり、出力ピンを入力に変えてデータを読み取ったり
> することはできるのでしょうか?
Zと指定すればできると思います。

もしよろしかったら、簡易ファンクションジェネレータではなく、
EXTESTボタンを押した後マウスでクリックする方法でも
ピンを操作してみてください。

ご指摘いただいた動作は違和感がありますので、何とか改善します。
アルテラのサイクロンは、デザインウェーブの付録のがありますので、
できる限り早く私も試して見ます。

貴重なご意見ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re: 簡易ファンクションジェネレータ動作しました。 コメント数:  3件
  なひたふ 2004/03/12 (金) 01:51
こんばんは

早速のご試用ありがとうございます。

> 一度出力状態を定義しても、その後、定義せずに > を行うと、
> もとの状態に戻ってしまうようです。

そのCycloneは、プログラムされた状態でしょうか?
それともブランクの状態でしょうか?

どうやらデバイスの種類にもよるようですが、
XILINXではSpartanIIとXC9500で動作に違いがありました。

MITOUJTAGでは送信するべきバウンダリスキャンレジスタのビット列を、
前回受信したビット列を元に作成しています。
しかしながら、たとえばXC9500では、送信したビット列が
デバイスのピンのプログラム状態に応じて勝手に書き換えられうため、
このような現象が発生することを確認しています。

デバイス内で書き換えられてしまうか否かは、デバイスの種類と、
プログラミングされている状態に拠るようです。

たとえばXC9500では、
デバイスのそのピンを出力状態にしておけば前回の状態が保持され、
入力状態にしておけば毎回状態がリセットされてしまう
という現象となって現れます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 簡易ファンクションジェネレータ動作しました。 コメント数:  4件
  せき  | seki@sky.email.ne.jp 2004/03/12 (金) 01:07
なひたふさん、こんばんは

簡易ファンクションジェネレータ、動作しました。
バウンダリースキャンテストにも何とか使えそうな感じです。
テストしてみて気が付いた点を報告します。
(バージョンは0.0.8で、サイクロンでテストしました。)

1)データを出力するピンは全て、毎回定義しないとだめなようです。
一度出力状態を定義しても、その後、定義せずに > を行うと、
もとの状態に戻ってしまうようです。

2)出力のH/L状態を定義したピンのH/L状態を読み取ると、
定義によって外部に出力されている状態ではなく、内部回路の出力状態が
読み取れているようです。

以上の点には少し違和感を覚えましたが、これは仕様なのでしょうか?

また、別の質問ですが、簡易ファンクションジェネレータで、FPGAの
入力ピンから出力したり、出力ピンを入力に変えてデータを読み取ったり
することはできるのでしょうか?
これができないと、バウンダリースキャンテストに関してかなり制限が
できてしまいます。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^6: SPARTAN100,150のROM起動? コメント数:  11件
  なひたふ 2004/03/11 (木) 21:44
こんばんは

そういえば、
子基板を抜き差しすると動き出すというのが気になりますね・・

> 4.当方のオッシロは岩通40MHZの2現象です。波形調査は
> ストレージでなく、まだ徹底的にはやってません。

立派なオシロですね。その規模のスペックがあれば、
NORMALモードのトリガをかけるといいでしょう。
起きる現象は単発現象ですが、ストレージは出来なくても
目の残像で何とか見えるでしょう。

> 6.MITOUJTAGで早く、バウンダリースキャンをやって見ます。
> GIVEIO.SYSなど、準備がなかなかいるですね。
いえいえ、MITOUJTAGは本筋ではないので、
まずはROMからのコンフィグを成功させましょう。

オシロで、次の順に見ていってください。
(1)FPGAのPROGピンのLOWパルス(手動で与える)
(2)FPGAのINITピンからLOWパルスが、PROGに対応して出てくること
(3)CCLKとDATAが、約1秒ほど出てくること。
(4)DONEが0→1に上がること
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re^5: SPARTAN100,150のROM起動? コメント数:  12件
  GO 2004/03/11 (木) 20:40
あしながをぢさん、軽石さん、なひたふさん、ありがとう!

現在、MITOUJTAGをしっかり読んで、使い始めています。

報告:

0.配線間違いで、3.3V、2.5Vレギュレータを一度、
熱くしてしまっているので電流容量が落ちているかと思った
わけです。1AのものをNETで購入します。店名、感謝です!

1.オプテイマイズサイトで買ったEZ-FPGAは全PINがGUIで
検証できました。しました。ただしsp−144でMINI−USBを
通してです。

2.このときも、自信はあったのですが2個のPINがはんだ
つけ不良でした。

3.昨日も届いたSP−144をべた半田でない方法(FREEWING氏
の方法)でやりましたがROM起動はできません。あとは成功です。

4.当方のオッシロは岩通40MHZの2現象です。波形調査は
ストレージでなく、まだ徹底的にはやってません。

5.なひたふさんの助言に従って0.1uFを4,5個追加しました
がROM起動はできません。。。自分は、PINはがれを疑ってます。

6.MITOUJTAGで早く、バウンダリースキャンをやって見ます。
GIVEIO.SYSなど、準備がなかなかいるですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re^4: MITOUJTAGによるFLASHへの書き込み コメント数:  0件
  himamura 2004/03/11 (木) 20:09
お世話様です。

いろいろ、ありがとうございました。今回のボードでは、ActelのFPGAを使っています。いま、ちょうどFPGA周りのデバックをはじめたので、この部分にもMITOUJTAGを使ってみようと思います。

FLASHの件、了解しました。MITOUJTAGの仕様はとても良く、勝手ながら、機能充実に期待をしております。ぜひ、他国のJTAGのフォーラムに負けない物を作ってください。

デバイス情報を揃えるのも大変だと思いますので、ある時期にぜひ、OPENフォーラムにしてデバイス情報をユーザー(有志)から集められるように出来ればいいですね。そのときは、ぜひ、お手伝いさせてください。

お忙しい中、ご回答頂き、ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re^3: MITOUJTAGによるFLASHへの書き込み コメント数:  1件
  なひたふ 2004/03/11 (木) 18:22
> ICEポートが別にあるので、二番目のJTAG-ICEの方法は多分機能が無いか、あっても教えてくれないような気がします。

特に日本のメーカーは公開していないことが多く、
残念な思いをすることが多いです。

> 自作のボードですので、CPUとの接続情報はわかります。FLASHは、Intelの
> StrataFlash(E28F128J3)を使っています。

それから、そのデバイスのBSDLファイルが必要です。
これは、バウンダリスキャンビットのどのビットがデバイスのどのピンに
対応するかが記述されています。

> ぜひ、1番目の方法でやりたいのですが、これはやはり、MITOUJTAGの次期バージョンに依存するしかないのでしょうか?

申し訳ございませんが、現バージョンではまだ対応していません。

現状でも「簡易ファンクションジェネレータ機能」を使って、
ピンの状態をアップダウンさればできないことはないと思いますが、
パターンを手作業で作成するのは実用的ではありません。

フラッシュROMにつながっている、
CPUのピン番号とそれらの操作手順を自動で生成できれば、
なんとかなるかもしれません。
これなら、次々期バージョンくらいで対応させられるかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re^2: MITOUJTAGによるFLASHへの書き込み コメント数:  2件
  himamura 2004/03/11 (木) 17:05
さっそくのご回答ありがとうございました。

ICEポートが別にあるので、二番目のJTAG-ICEの方法は多分機能が無いか、あっても教えてくれないような気がします。
実は、CPUメーカーと深い関係なので、JTAGの詳細を教えて欲しいと聞いたことがあるのですが、CPUの出荷チェックにしか使っていないので、それ以外の使い方はやって欲しくないし公開しないと言われました。

自作のボードですので、CPUとの接続情報はわかります。FLASHは、Intelの
StrataFlash(E28F128J3)を使っています。

ぜひ、1番目の方法でやりたいのですが、これはやはり、MITOUJTAGの次期バージョンに依存するしかないのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re: MITOUJTAGによるFLASHへの書き込み コメント数:  3件
  なひたふ 2004/03/11 (木) 16:23
はじめまして

JTAGでフラッシュの書込みを行う方法は2つあります。
ひとつは、各端子の状態をJTAGを使って外部からコントロールして、
フラッシュROMへの書込み信号を作り出すことです。

これには、そのICのBSDLファイルが必要になりますが、
CPUに限らずどんなデバイスであっても、フラッシュと接続されている
デバイスを介して書き込みが可能です。

ただし、回路の接続情報とフラッシュのコマンドを知る必要があります。
この機能はMITOUJTAGに組み込もうと思っていますが、
まだ取り掛かっていません。

もうひとつは、CPUのJTAG ICE機能を使って書き込む方法です。
ARMやMIPSといったCPUであれば、CPUの通常の動作を一時停止し、
JTAG経由で任意のコードを送り込み、動作させることができます。
それを利用して、メモリに書き込むコードを送り込み、
フラッシュROMを書き換えます。

新規のCPUに対応させるには、
そのCPUのJTAG ICE機能に関して詳細な情報を入手し、
JTAG ICEインタフェースライブラリを作成する必要があります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 MITOUJTAGによるFLASHへの書き込み コメント数:  4件
  himamura 2004/03/11 (木) 15:50
はじめまして。MITOUJTAGによるFLASHの書き込みについて教えてください。

某社の有名なマイナーCPUを使っているのですが、そのCPUには、ICEのポートとJTAGのポートが用意されています。

某社からは、ICEが出ているのですが、FLASHの書き込みはサポートされていません。DRAMで動くFLASH書き込み用のソフトを開発し、DRAMにそのプログラムとFLASHに書き込みたいデータ(プログラム)をダウンロードし、書き込みプログラムをRUNさせ、書き込むしか手段がありません。

要するに、DRAMが動かないと、何のハードでバックも出来ないと言うお粗末なICEなのです。

一応、DRAMまでは動くようになったのですが、この際、このICEを使うやり方をやめて、直接FLASHにデバックプログラムを焼きこみハードでバックをしたほうが効率がいいように思えてきました。

そこで、質問なのですが、CPUのJTAGからFLASHに書くということは、CPUのFLASHが接続しているBUSをすべて動かし、実際のプログラムが書き込むように動作をエミュレーションすることで出来るのでしょうか?

その場合、CPUのJTAGの情報がないとやはり作ることは出来ないのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 Re^4: トラ技4月号Get! コメント数:  0件
  軽石  | nbf02247@nifty.ne.jp 2004/03/10 (水) 12:50
>> ついもう1冊買ってしまいました。

大人の箱買いですか・・・。(^^;

> JTAGに関連した応用例を考えています。お楽しみに。

期待しています。o(^o^)o「ワクワク」

>
> CQ出版の人だってどのような使い方をされたか追跡調査したいでしょうから、
> 何かを作ろうとしている予定の人は、検索でヒットしやすいように、
> 「トラ技」「H8」「4月号」「付録」をちりばめた
> Webサイトにするといいと思います。
> きっとCQ出版の人が見つけてくれると思いますよ。

これって面白い技ですね。

> > この次は良くある引換券方式にするのも良いかも知れませんね。
> そんな方法があるとは驚きました。

漫画雑誌の付録とかで行われています。
1号買えばよいものとか、複数号のクーポンを集めて送ります。

これの良い所は雑誌に付録をつける必要がないという事です。
さらに顧客情報も入手(情報の流出は困りますが・)できるます。
ユーザーは購入代金(はがきでOK)を送ればOK。
(郵便為替というのもありました)

今回はまず、開発環境をインストールしないと・・・・。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 Re^3: トラ技4月号Get! コメント数:  3件
  なひたふ 2004/03/10 (水) 11:58
> 本日無事入手。
> 思ったより小さく、片面基板である事を知り、コストダウンの努力が伺えます。

私は定期購読で手に入れていたのですが、
家の近くの本屋にいっぱい積んであったので、
ついもう1冊買ってしまいました。

私の使い道ですが、1冊目は大事にとっておくとして、
2冊目で何かを作ろうと思います。
H8それ自身はJTAG非対応ですが
JTAGに関連した応用例を考えています。お楽しみに。

CQ出版の人だってどのような使い方をされたか追跡調査したいでしょうから、
何かを作ろうとしている予定の人は、検索でヒットしやすいように、
「トラ技」「H8」「4月号」「付録」をちりばめた
Webサイトにするといいと思います。
きっとCQ出版の人が見つけてくれると思いますよ。

> この次は良くある引換券方式にするのも良いかも知れませんね。
そんな方法があるとは驚きました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^2: トラ技4月号Get! コメント数:  5件
  軽石  | nbf02247@nifty.ne.jp 2004/03/10 (水) 10:05
本日無事入手。
思ったより小さく、片面基板である事を知り、コストダウンの努力が伺えます。

チップを供給したルネッサンスの太っ腹に感謝。

アルテラ、ルネッサンスときましたので、次はマイクロチップかザイリンクスを希望。(^^;

この次は良くある引換券方式にするのも良いかも知れませんね。

送り先を自分で書いて、封筒で送る。
こうすれば実際手に入れようとした人の住所も判るし、そこまでして手に入れようと
しない人は無駄なお金を出費しなくてもすむ。

いかがでしょうかCQ出版社関係の方・・・・?(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^4: SPARTAN100,150のROM起動? コメント数:  0件
  軽石 2004/03/10 (水) 00:19
> 3.レギュレータで、2.5Vで電流容量の1A近くのものを売っている店を紹介
> ねがえませんか?

共立エレにありました。

@90円のようです。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^4: SPARTAN100,150のROM起動? コメント数:  0件
  あしながをぢさん 2004/03/09 (火) 17:38
Goさん 初めまして。

> GOです
> 3.レギュレータで、2.5Vで電流容量の1A近くのものを売っている店を紹介
> ねがえませんか?

TIはUnitrodeを買収してから電源関係のラインアップが充実しています。
2.5V 1AのLDOならばTPS72525、2.5V 2AのLDOならばTPS75225
あたりはいかがでしょう。

Digikeyから買うことが出来ます。

ご参考まで。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re^4: SPARTAN100,150のROM起動? コメント数:  0件
  軽石  | nbf02247@nifty.ne.jp 2004/03/09 (火) 15:10
> 3.レギュレータで、2.5Vで電流容量の1A近くのものを売っている店を紹介
> ねがえませんか?

ネット販売でしょうか?
それともGOさんの行動範囲で帰るお店でしょうか?(お住まいがわからないので無理ですね)
個数は何個くらいですか?

固定タイプは0.5Aは入手が容易ですが、確かに1Aは見当たりませんね。
可変タイプだと千石電商や佐藤電気のWEBで購入できるようです。
(これだと数Aまで大丈夫です)

> 6.自分が推測するのですが、3つのレギュレータの容量がたらないか、FPGAの
> はんだつけが不十分という一番の原因と思うのですが、どうでしょうか?

レギュレータの容量不足はFPGAへクロックを供給しない状態で起動すれば確認できると思います。
(CMOSはクロックを与えなければ殆ど消費しません)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re^4: SPARTAN100,150のROM起動? コメント数:  13件
  なひたふ 2004/03/09 (火) 15:04
こんにちは

FPGAのコンフィグが終了すると、CCLKは停止します。
また、M2=M1=M0=0でない場合(つまり、Masterモードでない場合)も
CCLKは停止します。
最初CCLKが動いていて、時間が立つとCCLKが停止するのであれば、
コンフィグは成功しています。
このときDONEは上がります。

なお、レギュレータの容量の問題ではないと思います。
不足しているとすれば、パスコンでしょう。
今、私の目の前にあるXC2S200BG256の基板はいろいろ
載っていますがトータルで200mA以下で動いています。

どうしても必要なら2.5Vの電源はLM317で作るのはどうでしょうか?

また、コンフィグが実は成功していて、
FPGAの回路に問題があったということもあります。
FPGAには4つのクロック入力端子があり、
UCFファイルでちゃんと記述しておかないと、
コンパイラが勝手なところに配置してしまいます。
出来上がったピン配置との対応は大丈夫でしょうか?

MITOUJTAGを使えば、
FPGA自身が入力したロジックレベルを表示できるので
半田付や接続間違いのチェックも可能です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^3: SPARTAN100,150のROM起動? コメント数:  17件
  GO 2004/03/09 (火) 14:43
GOです

いろいろな助言、ありがとうございます。

1.電源ONのち、十分な時間たって、PROG=0Vとしても、LED点滅は始まりません。
2.FPGAとROMに書き込めるのであれば、FPGAは正常にうごいていることなのでしょうか? 
3.レギュレータで、2.5Vで電流容量の1A近くのものを売っている店を紹介
ねがえませんか?
4.以下はまだ見てません。
> オシロを持っているのであれば、FPGAのCCLK、DATA、PROG、INITピンと、
> 対応するROMの各ピンを調べてみてください。
> 次に、MITOUJTAGも使ってみてください。
5.電源ON後、十分な時間がたつと、CCLK=0Vです。
6.自分が推測するのですが、3つのレギュレータの容量がたらないか、FPGAの
はんだつけが不十分という一番の原因と思うのですが、どうでしょうか?


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re: トラ技4月号Get! コメント数:  6件
  軽石  | nbf02247@nifty.ne.jp 2004/03/09 (火) 10:13
> 秋葉の大きな本屋さんでも、もう並んでいますか?

地元の本屋にはまだ影も形もありません。
やはり明日にならないと駄目なのかもしれません。

>
> さらに、来月はH8応用製作特集のようです。
> この基板は使わずに大事に大事にとっておきます。

大人の箱買いはしないで1個買って、使い倒したいと思います。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^2: SPARTAN100,150のROM起動? コメント数:  18件
  なひたふ 2004/03/09 (火) 09:05
>1. M0=M1=M2=0V としている。
OKです。

>2.INITとDONEとPROGの3PINは5Kでプルアップしてます。
OKです。DONEには入れなくてもよいです。

>7.CCLKの波形を見ると、矩形波からずれたなまった波形が、安定して
>  数分の一に分周されて出てます。。74AC14でCCLK波を整形しても効果
ちなみに、これは分周ではなく、FPGAの内部発振器で動いています。

オシロを持っているのであれば、FPGAのCCLK、DATA、PROG、INITピンと、
対応するROMの各ピンを調べてみてください。

CCLKが出力されているときは、コンフィグ未完了です。
INITの後、CCLKを供給されるとROMはDATAを出力しますが、
オシロでみると一瞬DATAっぽい波形が見れるはずです。
この手順は1秒くらいの間に起こります。
ROMは最後まで読んでも最初に戻りませんので、手早く見る必要があります。

次に、MITOUJTAGも使ってみてください。
CCLKが出力されているかや、FPGAのM2〜M0、INITピン、PROGピン
の状態がどうなっているかがわかります。
また、ROMのCFピンを自由に操作することもできます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re: SPARTAN100,150のROM起動? コメント数:  19件
  なひたふ 2004/03/09 (火) 08:56
こんにちは

> 3.0.1uFのコンデンサーをFPGAの各辺に2個入れてます。
VCCIOとVCCINTの両方に入るようにしてください。

CCLKを整形することやダンピング抵抗も必要ないでしょう。
あと、ROMの容量は大丈夫ですよね。

ROMからのコンフィグの原理を整理してみますと、たしか

@電源投入 or PROGピンがLOWになる →FPGAが検出
AFPGAがINITピンをLOWにする → ROMにリセットがかかる
BFPGAがCCLKを出力
CROMがDATAを出力
Dデータを受信してチェックが完了 → FPGAがDONEを上げる

です。PROGピンに強制的にLのパルスを与えた場合にも
同じシーケンスが起動します。

電源投入時の起動時に、失敗したり成功したりというのであれば、
電源の立ち上がり波形を疑ってみるのも必要です。
立ち上がってから一度電圧が低下して再度立ち上がる電源というのも
あります。そうなると失敗の確率が高くなります。

PROGピンで強制再コンフィグを行った場合は、
電源の立ち上がりの影響を排除できます。
これで切り分けができます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 SPARTAN100,150のROM起動? コメント数:  20件
  GO 2004/03/09 (火) 02:04
     なひたふさんへ

SPARTAN100と150で自作基板(QFP-51使用)をつくり、いずれもFPGAと
ROMへの書きこみに成功しましたが、ROMからの起動だけができません。
なにを改善したら、起動ができるでしょうか? 当方の実験条件は

1. M0=M1=M2=0V としている。
2.INITとDONEとPROGの3PINは5Kでプルアップしてます。
(NP003Bをもってますが、これはプルアップではありませんが、これの
ように4.7Kや3.3Kを入れる方法はまだやって試してません)
3.0.1uFのコンデンサーをFPGAの各辺に2個入れてます。
4.ROMにも電源、アースに0.1uFを入れてます。
5.QFP−51基板をぬきさしすると、ROM起動が始まることがあります。
  これは、IC-1444,208PINの半田付け不良からくるものでしょうか?
6.LED点滅移動をさせてますが、CLK(長方形IC)は12MHZ使用です。
7.CCLKの波形を見ると、矩形波からずれたなまった波形が、安定して
  数分の一に分周されて出てます。。74AC14でCCLK波を整形しても効果
  ないでしょうか?
7.手配線基板ですが、CCLKにダンピング抵抗はいれてません。

よろしく、お願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re^2: 絶縁入力回路 コメント数:  0件
  m3 2004/03/08 (月) 22:06
なひたふさん、池畑さん、ありがとうございました。
各社のボードで、必ずといって良いほどこうなっているので
ものすごく気になってました。
これですっきりしました。
本当にありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re: 絶縁入力回路 コメント数:  1件
  池畑  | ike@mail.spacelan.ne.jp 2004/03/08 (月) 21:32
1.5KΩは誤動作防止です。
たとえば、TD62084では、出力の漏れ電流が最大0.5mAあります。
フォトカプラのLEDに0.5mA流れると、出力のTRには0.5mA流れ、
負荷抵抗10KΩだと5Vになり、誤動作します。
LEDの順電圧は1.15Vであり、1.5KΩがあると入力電流が0.77mAまでは
出力のTRには電流は流れず誤動作しなくなります。
実際にはこんな極端なことは少ないのですがノイズ耐量が減少し、
多少のノイズ電流で誤動作するようになります。
ノイズの多い工場などの環境では、必ず用いられる方法です。
基板をよく観察することは、非常に勉強になります。
これ以外にも、いろいろ工夫してあるはずですので、見つけてください。
では。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 JTAG経由でAVRのヒューズ初期化に成功!! コメント数:  0件
  なひたふ 2004/03/08 (月) 19:57
pekeさんこんばんは
JTAG経由でヒューズへの初期化に成功しました!!

どうやら、JTAGのISP機能はクロックが
供給されていなくても動作するようです。
ヒューズの下バイトを大胆にいろいろといじってみましたが、
クロックは止まったり、弱くなったり、動き出したりいろいろですが
どのような状態でもJTAGは使えました。

まだ正式なバージョンではないのでバグが多くありますが、
チップの初期化だけはできるよう、最新のDLLをおいておきます。

MITOUJTAG0.0.8をダウンロードし、
exeファイルのあるフォルダに下の2つのDLLを置いて下さい。
これはデバイスを消去してヒューズビットを0x99E1に初期化します。
http://nahitafu.mydns.jp/jtagalg.dll
http://nahitafu.mydns.jp/algatmega16.dll

MITOUJTAGを起動して、BSDLファイルからデバイスを追加し、
ATMega16を選択して「消去」すれば、ヒューズビットが
工場出荷時の状態に戻るはずです。
そうしたらシリアルプログラミングができるようになるでしょう。

※チップを消去すると、JTAGが禁止されてしまうから、
 プログラミングモードを抜ける前にフューズを速攻で
 再プログラミングしなくてはいけないようだ。おぉ恐・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 ATMega16をJTAGで操作 コメント数:  0件
  なひたふ 2004/03/08 (月) 15:50
ATMega16を買ってきて、適当なボードを作成し、
電源とJTAGケーブル、水晶等を接続しました。
また、ポートAに8個のLEDをつなぎました。
http://nahitafu.mydns.jp/testmega16.jpg

AVRは買ったままの状態で、何もプログラミングされていません。

早速、JTAGケーブルを接続しMITOUJTAGを起動して自動認識を
試みますが、AVRは自動認識はされませんでした。
詳しい原因はわかりませんがATMega16には一部、
IEEE1149.1規格に完全に準拠していない部分があるのだと思います。

手動でデバイスを追加しチェーンのチェックを行ってみると、
ちゃんと認識してくれているようです。IDCODEも見れます。
http://nahitafu.mydns.jp/avrjtag.png

ここで画面上に表示されたICの絵を、マウスでカチカチと
クリックすると、実際のICにつながったLEDが光ります。
http://nahitafu.mydns.jp/avrjtag.mpg (800kByte)

さて、いよいよJTAG経由書込みを行ってみようとおもいますが、
誤ってヒューズビットを消してしまうと、シリアルプログラミングも
JTAG経由の操作もできなくなってしまうのではないか
という気がしてきました・・。ちょっと怖いですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re: 絶縁入力回路 コメント数:  0件
  なひたふ 2004/03/08 (月) 15:39
こんにちは

> この抵抗は何の為に必要なのでしょうか?

うーん、なぜでしょう。
最も正確な答えを得るにはhivertec社に問い合わせるのがベストですが、
あくまでも私の推測を述べますと、LEDがONしていない場合の
入力インピーダンスを下げるためではないかと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 絶縁入力回路 コメント数:  3件
  m3 2004/03/08 (月) 15:08
こんにちは。
教えて頂きたいことがあります。
フォトカプラ絶縁入力回路で、市販のIOボード等では
発光側に並列に1.5K程度の抵抗がついていますよね。
例えば
http://www.hivertec.co.jp/products/catalog/dio580.pdf
この抵抗は何の為に必要なのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re: トラ技4月号Get! コメント数:  0件
  個人研究者 2004/03/08 (月) 09:49
こんにちは。

> 定期購読しているため、今日届きました。
> ちょっと予想より早くきましたね。
> 秋葉の大きな本屋さんでも、もう並んでいますか?

確か10日発売とどこかに書いてありましたが、定期購読だと早いんですね。
本屋さんチェックしてみます。

私はすぐに基板を使ってしまいそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re^3: AVRISP コメント数:  1件
  なひたふ 2004/03/07 (日) 12:23
pekeさんならびに皆様こんにちは

皆様、ATMega16の情報ありがとうございました。
海外では、日本のH8のような感じで使われているのですね。

> > MEGA16はJTAGマイコンなので、MITOUJTAGで書き換えやデバッグ
> > ができるはずです。

で、少し調べてみたところ、EEPROMやFLASHのJTAG書換えは、
AVRを買ってきたそのままの状態で可能なようです。
この書換えの機能は、近々実装します。

しかし、CPUコアのデバッグ機能に関する情報は、データシートには
「ATMELと選ばれたサードパーティにしか配布されない」と書かれており、
どのようにしてデバッグを行うかの情報がありませんでした。
海外の熱狂的なAVRマニアに聴くなどして地道に研究していき、
JTAGデバッグ方法を探ることにします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re: 0.0.9期待しています コメント数:  0件
  なひたふ 2004/03/07 (日) 11:38
> MITOUJTAGは便利ですね。よく使わせていただいています。
ありがとうございます。

> 次回の0.0.9で簡易ロジアナ機能の強化ということで期待しています。
たいへんお待たせいたしました。
現状では簡易ロジアナ機能は、かなり不安定だと思います。
ご不便をおかけして申し訳ございません。

> それから、V0.0.7から追加されたチェーン状態の保存機能便利ですね。
> できれば、pin definitionの情報も、一緒に保存されるともっと便利だと
> 思います。
V0.0.8では、UCFのファイル名を一緒に保存するようにしました。
現状では保存しているのはあくまでUCFのファイル名だけなので、
各ピンの情報までは保存していません。

UCFによらずに、ピン名を1個1個指定したい場合もあるかも
しれませんから、各ピンのピン名を保存した方が便利そうですね。
ピン名を簡単に変更でき、そして保存できるように改良します。

改善のご提案ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: 簡易ファンクションジェネレータ コメント数:  5件
  なひたふ 2004/03/07 (日) 11:32
せきさんこんにちは

> パターンファイルの例を見ますと、任意のピンからH/Lレベルの出力して、
> その時の、任意のピンのH/Lレベルを、ファイルに落とすことができると
> いうように、見えますがよろしいでしょうか?
そのとおりです。

> 適切なパターンファイルを作り、出力ファイルのデータが、正しいかどうかの
> 判断を行うものを、外部に作れば、
> 現行のMITOUJTAGで、基板のハンダ付け確認を行うバウンダリースキャンテストができるということでしょうか?

はい、そうです。
そのテストパターンの作成と、
結果の確認もMITOUJTAGに含めてしまえれば理想です。

現状ではパターンをテキストで記述するようになっていますが、
これではちょっと使いづらいです。

目標としては、エレクトロニクスの愛好家でも楽に
テストパターンを作成できるようにしたいと考えていますが、
パターンの作成は一般には難しい作業です。

私自身もいろいろな開発に使ってみて、どうある姿が理想なのかを
考えながら徐々に便利な方向へ拡張してみようと思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 簡易ファンクションジェネレータ コメント数:  6件
  せき  | seki@sky.email.ne.jp 2004/03/07 (日) 03:17
なひたふさん、こんばんは、せきです。

簡易ファンクションジェネレータについてですが、
動作テストしてから質問しようと思っていたのですが、
なかなか、着手できなくて、先に質問させてください。

パターンファイルの例を見ますと、任意のピンからH/Lレベルの出力して、
その時の、任意のピンのH/Lレベルを、ファイルに落とすことができると
いうように、見えますがよろしいでしょうか?

もしそうであれば、
適切なパターンファイルを作り、出力ファイルのデータが、正しいかどうかの
判断を行うものを、外部に作れば、
現行のMITOUJTAGで、基板のハンダ付け確認を行うバウンダリースキャンテストができるということでしょうか?
なるべく、早くテストしてみたいと思っています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 0.0.9期待しています コメント数:  1件
  せき 2004/03/07 (日) 02:51
こんばんは、せきです。
MITOUJTAGは便利ですね。よく使わせていただいています。

次回の0.0.9で簡易ロジアナ機能の強化ということで期待しています。
もう、V0.0.8では改善されているかもしれませんが、
V0.0.7のロジアナ機能について、気がつた点を報告させていただきます。

@V0.0.6に比べて、不安定になったようです。長めにデータを取ると、
 エラーが出てしまいます。
Aチェーンにつながっている全てのデバイスを、Sample&Capcureして
 おかないと、信号がずれることがあるようです。(V0.0.6も同じ)

それから、V0.0.7から追加されたチェーン状態の保存機能便利ですね。
できれば、pin definitionの情報も、一緒に保存されるともっと便利だと
思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re: ロジックファミリについて コメント数:  0件
  shirou 2004/03/07 (日) 00:35
> CMOSロジックの消費電力がスイッチング周波数に比例するのは何故ですか?
> あと、TTLロジックとCMOSロジックを接続する際の留意点て何ですか?
> 教えてください。
CMOSの気持ちになって考えて、そしてTTLの気持ちになって考えればわかるんですよ。
いや、ちゃかすのではなく本当です。
半田付けが上手になりたければ、半田やはんだごての気持ちにならなければなりません。
ついでに、質問に対するよい答えを期待するならば、答える人の気持ちになる。
 検索エンジンを上手に使うのも、検索エンジンの気持ちになることです。
(この答えは良い答えかも)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re: ロジックファミリについて コメント数:  0件
  軽石 2004/03/06 (土) 23:08
こんにちは回路初心者さん

入り口の上にあった掲示板で質問される方へお願いを一読しましたか?
教えてもらうのではなく教えていただくという気持ちを持ちましょう。

> あと、TTLロジックとCMOSロジックを接続する際の留意点て何ですか?

まず疑問に思ったら検索するようにしましょう。
検索エンジンはgoogleがお勧めです。

質問の単語を3つほど検索のキーワードとするとご質問の答えを入手できるはずです。

私が検索したら20秒掛かりませんでした。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 MITOUJTAG0.0.8リリース コメント数:  9件
  なひたふ 2004/03/06 (土) 22:03
MITOUJTAG0.0.8をリリースしました。
http://www.nahitech.com/jtag/download.html#windows

SpartanII、XCF、XC9500XLへのJTAG書き込みが可能になりました。

XCFは、XCF01〜04Sで動作確認済みです。
またSpartanIIは、XC2S200で動作確認済みであり、
XC95XLは、XC95144XLで確認済みです。

※SpartanIIとほとんど同じはずなのになぜかVirtexEでは動きません。
 これは原因究明中です。

また、デバイスのパッケージを手動で選択できるようにしました。

これで、AVRのATMega16のように部品名やBSDLファイルから
パッケージ形状を推測できない場合にも対応することが
できるようになります。

例えば、ATMega16を使う場合には、まずBSDLファイルを登録した後
デバイスの絵を右クリックして、「ChangePackage」を選択し、
DIP40かQFP44のどちらか正しい方を選択してください。

まだAVR CPUコアのデバッグとROMの操作はできませんが、
ピン状態を自由に操ることはできます。

次回の0.0.9ではいよいよ簡易ロジアナ機能を強化します。
まず表示する信号を選択できるようにし、
状態や結果をファイルに保存できるようにします。
ロジアナがしっかりと動けば、かなり有益なツールになるでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 トラ技4月号Get! コメント数:  8件
  なひたふ 2004/03/06 (土) 18:10
定期購読しているため、今日届きました。
ちょっと予想より早くきましたね。
秋葉の大きな本屋さんでも、もう並んでいますか?

さらに、来月はH8応用製作特集のようです。
この基板は使わずに大事に大事にとっておきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re: ロジックファミリについて コメント数:  0件
  タンタン 2004/03/06 (土) 18:03
タンタンです。

> CMOSロジックの消費電力がスイッチング周波数に比例するのは何故ですか?

あなたは、何故だと思っていますか? 教えてください。

> あと、TTLロジックとCMOSロジックを接続する際の留意点て何ですか?
> 教えてください。

あなたは、何に注意すれば良いと思っているのですか? 教えてください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re: ロジックファミリについて コメント数:  0件
  なひたふ 2004/03/06 (土) 17:54
回路初心者さん、こんにちは

初心者という名前の人は今までに100人くらい見てきました。
できれば、もうちょっとひねった名前をお願いします。

さて、
> CMOSロジックの消費電力がスイッチング周波数に
> 比例するのは何故ですか?

CMOSは、動いた瞬間に電力を消費します。

CMOSの入力の部分はコンデンサのようになっていて、
入力信号がH→L、L→Hへと動くと言うことは、
コンデンサに充放電してるんです。

また、CMOSはPMOSとNMOSで出来ていますが、
片方がONしているときはもう片方はOFFしているので
電流は流れませんが、ロジックの状態が変化するときには、
一瞬両方ともONするので大きな電流が流れます。

周波数との関連性は考えればすぐわかると思います。

> あと、TTLロジックとCMOSロジックを接続する際の留意点て何ですか?

TTLにもCMOSにもいろいろなファミリがあり、
一般的なことはいえません。
簡単につなげられるものもあるし、そうでないものもあります。
また、TTLが前ですか? それとも、CMOSが前ですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^3: LSI設計 コメント数:  0件
  タンタン 2004/03/06 (土) 17:49
タンタンです。

> 工作するときにはこんな特性のトランジスタを使って設計して、ここに何mA流すとかいう話はでてこないのでしょうか?

フルカスタムでは、そういう話も出るかもしれませんね。

> あとトランジスタの特性は各メーカーの技術によって異なるものが何種類か作れてその中から選んで設計となるのでしょうか?

NECのアナログASICの例では、NPNが2種類、PNPが2種類です。
http://www.necel.com/analogasic/japanese/product/analog/master2_hinsyu.html
PNPの性能がNPNに比べて見劣りします。

同じNECの“MA-9 ファミリ”では、抵抗の精度が、
ポリシリコン抵抗 絶対精度: ±20%, 相対精度: ±2% となっています。
精度は、かなり良くなっているようです。



【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^3: LSI設計 コメント数:  0件
  なひたふ 2004/03/06 (土) 17:41
こんにちは

>コンデンサは、使用できますが、高価(チップ面積が増大する)です。
>抵抗は、バラつきが大きい(+100%/-50%)が、抵抗値の比率は精度がよいので、

RはCに比べると精度の高いものが作れず、
スイッチトキャパシタで等価的なRを作ったりします。

> あとトランジスタの特性は各メーカーの技術によって異なるものが
> 何種類か作れてその中から選んで設計となるのでしょうか?

デジタルの場合はマスクから全部設計するとコストがかかりますから、
ある程度の機能をまとめたものをASICベンダーが用意していて、
それを配線でつなげることが設計になりますよね。

(↑ 高コスト・高性能)
1.全部自由に設計する。
2.トランジスタレベルで自由につなげる。
3.ゲートが用意されていて、それをつなげる。
4.もうちょっと便利なモノが用意されていて、それをつなげる。
(↓ 廉価・低性能)

アナログでも、完全に自由に設計ということはないと思うのですが、
どうでしょうか?

ちょっと検索したら、↓のような記事が見つかりました。
http://www.cqpub.co.jp/dwm/contents/0008/dwm000800600.pdf
これが答えに近いのではないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 ロジックファミリについて コメント数:  4件
  回路初心者 2004/03/06 (土) 15:54
CMOSロジックの消費電力がスイッチング周波数に比例するのは何故ですか?
あと、TTLロジックとCMOSロジックを接続する際の留意点て何ですか?
教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^3: LSI設計 コメント数:  0件
  軽石 2004/03/06 (土) 15:25
> @大まかな仕様を考える。
> Aオペアンプを使った設計
>  (これがオペアンプレベルの設計でしょうか?)

ですね。

>
> Bオペアンプの中身をトランジスタで設計する
>
> ここまでが回路設計レベルと考えてよいでしょうか?

仕様を設計する側はオペアンプの中までは設計しませんよ。
(ウエハ毎に適した回路があるのでそこはチップ製造側が行います)
トランジスタ回路が必要であれば回路として組み込みます。

> Cトランジスタの中身の設計をする
> これがウエハレベルの設計でしょうか?

トランジスタの中身はないでしょう。
PNP接合のブロックを置くだけです。
配置と配線が性能を左右します。

あとの部分はイメージどおりだと思います。

LSIの設計も所詮ディスクリート(プリント基板)設計と同じです。

論理回路(アナログでも)設計を行い、確認を行ったらウェハ(基板)に各部品を配置する。
配置がまずいとクロストークが悪かったり、ノイズの影響を受けたりします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re^2: LSI設計 コメント数:  1件
  bunny pop 2004/03/06 (土) 14:30
軽石さん有難うございます。

> アナログ部分の設計はウエハーレベル設計と回路設計レベルの2段階があります。 簡単に言うと、オペアンプレベルの設計が上位。下位はトランジスタレベル。上位レベルでの設計を下位レベル(ウエハー上に配置した後の設計)で行います。

いきなり??となってしまいました(**;)
自分なりに解釈してみました。

@大まかな仕様を考える。
Aオペアンプを使った設計
 (これがオペアンプレベルの設計でしょうか?)

Bオペアンプの中身をトランジスタで設計する
 (これがトランジスタレベルの設計でしょうか?)

ここまでが回路設計レベルと考えてよいでしょうか?

Cトランジスタの中身の設計をする
これがウエハレベルの設計でしょうか?

設計者は回路設計を行えばあとは企業ごとに使うツールによって自動設計が出来る

回路図を描いた状態でのシミュレーション(spiceを使った)も行って

レイアウトした状態でのシミュレーション(シグナルインテグリティみたいな?)をして微調整を行う

と感じましたが、正しいでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^2: LSI設計 コメント数:  2件
  bunny pop 2004/03/06 (土) 14:08
タンタンさん有難うございます。

> トランジスタ等も、特性のそろったものが使用できる。
> トランジスタの個数を少なくすることは、あまり考えなくてよい。
> 等が、一般的な話になります。

工作するときにはこんな特性のトランジスタを使って設計して、ここに何mA流すとかいう話はでてこないのでしょうか?

あとトランジスタの特性は各メーカーの技術によって異なるものが何種類か作れてその中から選んで設計となるのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.