なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 Re: スイッチング電源 コメント数:  4件
  Nonta 2003/07/09 (水) 20:38
> 理論どおりに作っても、試作段階ではこんな事故は避けられないことなのでしょうか。
理論通りに作れば,理論通りに「炎上」します.それが,理論とゆーもんです.
電源設計に必要な理論をあげると,
回路理論の基本は,定評ある米国の大学教科書
http://www.amazon.co.jp/exec/obidos/ASIN/0792372700/qid=1057749945
磁気学については,電磁気の教科書を復習し,
これらが,理解できたら,実務書を何冊か読み,
壊れるとどうなるのかを知るためには
http://www.juse-p.co.jp/cgi-bin/html.pl5?i=ISBN4-8171-3041-5
熱設計については
http://www.a1s.co.jp/thermal/
安規は
http://www.anmon.gr.jp/list.html#UL1
EMCは
http://www.it-book.co.jp/EMC/tosyo.html
とゆーよーに,故人では習得に何年かかるかわかりませんから,
紹介のサイトに有ったように,電源メーカーに「無給実習生」として入り込むのが一番でしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 スイッチング電源 コメント数:  19件
  個人研究者 2003/07/09 (水) 15:39
こんにちは。
あるサイトで怖い記述を見たので質問です。

http://www.megastar-net.com/astroliner/pstory05.html

上のサイトは、AC100V入力スイッチング電源の製作記なのですが、失敗して「炎上」した事などが書かれています。私はまだコンセントに差すスイッチング電源は作ったことがないので、「やるときには気をつけないとな〜」とビビッてしまったしだいです。自分の家の中でやることを考えると。。。

どなたか、経験者の方にお聞きしたいのですが、理論どおりに作っても、試作段階ではこんな事故は避けられないことなのでしょうか。それとも、「これさえ気をつければ...」という安全なやり方はあるのでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re: 勉強について コメント数:  0件
  pnms 2003/07/08 (火) 22:21
こんにちはクランキーさん。

> そこで、将来役に立ちそうな、学生の時勉強しとくといいという物を教えてもらいたいです。
> 電気・電子回路、電磁気などは当然でわかっているんですけど、数学系で聞きたいです。
> しかも、大きく行列、確立とかではなく、もう少し詳しく教えてもらえるとうれしいです。

今だに数学ダメなのでたいしたことは言えませんが、学生のころ概念だけは覚えておきましたよ。
フーリエ関数といえば例の公式がパッと思い浮かばなくても"いろんなサイン波を足していくヤツ"という具合。
これだけでも"高調波って何?"とか"なぜインパルス応答なんて調べるの?"と言う疑問は解決しちゃってたし。もう一歩前進すればz変換やラプラス変換へ突入!
電子回路での数学はスポーツ選手の筋トレみたいなもんで、楽しくないけどどうしても必要なんです。
学生のころは"計算なんて人間サマのやるもんじゃねー"なんてイキがってたのが、今だに後悔してます。
手持ちの中でのお勧めは

オイラーの贈物―人類の至宝eiπ=-1を学ぶ ちくま学芸文庫
フーリエの冒険 ヒッポファミリークラブ

皆さんがどのような本を読まれているか非常に気になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re: インクジェットでプリント基板 コメント数:  0件
  軽石 2003/07/08 (火) 20:06
> インクジェットでプリント基板を作る研究を
> セイコーエプソンとKOAとイースタンがやるそうです。
> 数ナノmの金属の微粒子を有機溶剤に分散させて、
> インクジェットで噴出するそうです。
> フォトレジストで作っている携帯電話用基板の線幅が、
> 0.05mmなのに対して、0.03mmの線幅を目指すそうです。
> また、従来の方法に比べて工程が半分に減るそうです。

噴出するので工程の時間はどの程度になるのでしょうか?
工程が減った分だけ安くなってくれるとありがたいのですが・・・・。(^^;
あとはんだ付けにはどの程度耐えられるのでしょうか?

試作などに手軽に使えるようになってくれるとありがたいですね。
(300×300)の基板1枚の試作が1万円程度で作ってくれるように
なってくれるとありがたいです。(^^)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re: インクジェットでプリント基板 コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2003/07/08 (火) 19:34
> インクジェットでプリント基板を作る研究を
> セイコーエプソンとKOAとイースタンがやるそうです。
> 数ナノmの金属の微粒子を有機溶剤に分散させて、
> インクジェットで噴出するそうです。

キーボードなどに使われている回路パターン印刷を、
より低抵抗により微細にするって感じでしょうか?
キーボードの製造過程なんか見たことないのでアレですけど。

インクカートリッジを取り替えると、うちのプリンタで0.2oぐらいの
解像度で多層基板ができる、とかだと嬉しいんですけど……。 ^-^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 インクジェットでプリント基板 コメント数:  2件
  なひたふ 2003/07/08 (火) 00:01
以前、この掲示板で話題になった話ですが、
http://www.nahitech.com/nahitafu/bbs10.html

インクジェットでプリント基板を作る研究を
セイコーエプソンとKOAとイースタンがやるそうです。
数ナノmの金属の微粒子を有機溶剤に分散させて、
インクジェットで噴出するそうです。
フォトレジストで作っている携帯電話用基板の線幅が、
0.05mmなのに対して、0.03mmの線幅を目指すそうです。
また、従来の方法に比べて工程が半分に減るそうです。
NEDOの助成金を受け、今年度は4億1100万円の交付があるそうです。
開発を見守っていきましょう。

7月7日付の日経産業新聞の8面に書いていました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 Re: 勉強について コメント数:  0件
  くり 2003/07/07 (月) 17:11
クランキーさん、こんにちは。くりと申します。

>数学系で聞きたいです。

 学生のとき、数学系で勉強しておくと良いもの? それはもう、微分方程式論に尽きます。特に定係数線形常微分方程式の古典的解法であるラプラス変換法から始まって、伝達関数、ナイキスト線図法、ボード線図法、スミス・チャートなど、所謂、古典制御理論関係を一通り勉強しておくに越したことはありません。逆に、この辺が分からないと、オペ・アンプのポールがどうの、フィルタの帯域どうの、なんて議論はさっぱり分かりません。さらに高周波系ともなると、偏微分方程式論が必要になってきます。

>必要な知識は入社後教えてくれます。

 うーん、そりゃ日常の業務に関わる表面的な事は教えてくれるとは思いますが、逆に言えば基礎理論を押さえておかないと、卒業以後誰も教えてくれないことになります。なんせ、基礎理論をナイガシロにした連中が、先に就職している訳ですから^^)。でも、(特に)アナログ系は、理論的なことができないと、早晩行き詰まるのは目に見えてます。で、慌てて教科書を開いて、数式が理解できずに面食い、「あんとき、やっときゃ良かった〜」のアビバァに(古いか?)。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re: 勉強について コメント数:  1件
  軽石 2003/07/07 (月) 13:12
こんにちはクランキーさん

> 興味をもったというのは、半導体関係です。特に、アナログLSIについて面白く感じました。

よろしければアナログLSI設計に興味を持った理由を教えていただけるとありがたいです。

> 電気・電子回路、電磁気などは当然でわかっているんですけど、数学系で聞きたいです。
> しかも、大きく行列、確立とかではなく、もう少し詳しく教えてもらえるとうれしいです。

数式は私には難しいのでアドバイスになるかは疑問ですが、私なりの回答を書いてみます。
(ほとんど覚えていません)

アナログ回路の肝はやはりフィルタの計算ではないでしょうか?(はずしたかな?)

あとトランジスタとオペアンプについて熟知していると良いと思います。

たとえ希望する職場に入れたとして、すぐに難易度の高い作業はさせてもらえません。
(出来ないと思ってよいです)

入社前には基本的な所をきっちりと抑えておけば必要な知識は入社後教えてくれます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re^4: VHDLのデータタイプ コメント数:  0件
  あつ  | atumi@kinkei.co.jp 2003/07/07 (月) 10:58
返信ありがとうございます。
> ところで、あくまでも記述とインプリメンテーション(実装)は別物です。
気がつきませんでした。記述ばかりにとらわれていました。

> 私の理解ではReal型をサポートしようとすると内部表現が複雑になり、
>その処理(加減乗除など)の論理合成が実用的な速度では行なえないためだと
>思っています。
う〜ん私の勉強不足です。いまいちよく分らない状態です。
確かに参考書には浮動小数点算術でRealを使うような事がサラッと書いてました。
これはデバイスの記述かテストベンチかまでは書いてなかったですけど。

私は、VHDLの経験が浅いですが、記述に関して言えばstd_logic及びinteger
ぐらいでほぼ十分(?)な気がするんですが・・・
DSPとか複雑な処理を設計されてる方や、達者な方は頻繁に使用されています
でしょうか?よろしければ教えて下さい。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re^6: Design waveの付録CPLDの開発環境 コメント数:  0件
  Nyya   | naoto_y_jp@mve.biglobe.ne.jp 2003/07/05 (土) 15:22
> Baselineとこの上の
> MAX+PLUS II Advanced Synthesis Software Version 1.0
> を使えば良いです。
> Baselineの英語の説明をよく読むと、リンクが貼ってあります。

早速インストールしてみました。

Baselineでは初期設定のままでは7256Aが使えなかったのですが、デザインウエーブ1月号のP53のコラムにあるようにチェックを入れたり、
P51のコラムにあるとおりにacfファイルの変更をしてみたところ、ちゃんと配置配線までできるようになりました。
 (このあたりがXILINXのツールに比べて・・・。)

とりあえず使える環境を作ることができたので色々やってみようと思います。
ありがとうございました。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 Re^2: 半導体素子の壊れ方 コメント数:  0件
  加藤 2003/07/05 (土) 15:14
壊れかたにもいろいろあるようですので、
一度壊してみようと思います。

ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re^4: ディスクリートオペアンプ コメント数:  0件
  TD   | c346505k@tobata.isc.kyutech.ac.jp 2003/07/05 (土) 12:13
早速本屋に行って本をかってきました。
見た感じなんとなく作れそうかな、って気になってきました。

また分からないことが出てきたら、質問したいと思います。

ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 Re^6: Design waveの付録CPLDの開発環境 コメント数:  0件
  とみゅ 2003/07/05 (土) 11:58
> 確かに今回のOEM版の供給停止はきついですね。
> いかにみんなツールを買わないかというのがばれる・・・・・・・。(^^;

最近のアルテラは昔に比べて元気がない気がします。
昔はしつこいくらい売り込みにきたのに。
(代理店が元気がないだけかな?それとも10〜20K/月くらいじゃ相手にしてくれないのかな?)
ようやくうちでもCPLDを結構使い始めたのに、結局全部Latticeになってしまいました。(別にいいのだけど)
もっとも、セルベースICのバグパッチ当てとか、セルベースICで端子数が足りないので単純な入出力ポートを追い出すとかそんな使い方ですが・・・。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^5: Design waveの付録CPLDの開発環境 コメント数:  1件
  軽石 2003/07/05 (土) 00:35
> 回路図記述は面倒そうなのでできればやりたくはないのですが・・・。

HDLはいやなのでしょうか?

> そもそも、ライセンス発行停止なんて事態になるとは思っていませんでしたから・・・。

確かに今回のOEM版の供給停止はきついですね。
いかにみんなツールを買わないかというのがばれる・・・・・・・。(^^;

最近は景気が悪いので10万円超えるツールは中々OKがでません。
(PCが壊れた時にはさすがに購入してくれますが、いまだと10万円以下で
買えてしまうので・・・・)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^5: Design waveの付録CPLDの開発環境 コメント数:  1件
  SOH 2003/07/04 (金) 23:42
Quartus2では、MAX7000Aは使えなかったのですね・・・
失礼致しました。

で、
> > MAX7000Aシリーズを使っている人はどうすればいいのでしょうね?
> アルテラさんにはこういったところのサポートをどうにかしてほしいところです。
> MAX7256AをVerilogで使う方法があればどなたか教えてください。
> よろしくお願いします。
https://www.altera.com/support/software/download/altera_design/mp2_adv_syn/dnl-mp2_adv_syn.jsp
Baselineとこの上の
MAX+PLUS II Advanced Synthesis Software Version 1.0
を使えば良いです。
Baselineの英語の説明をよく読むと、リンクが貼ってあります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re^4: Design waveの付録CPLDの開発環境 コメント数:  4件
  Nyya   | naoto_y_jp@mve.biglobe.ne.jp 2003/07/04 (金) 22:25
> > QuartusIIは、MAX7000Aはサポートしていないみたいですね。
> > MAX7000B、MAX7000AE、MAX3000Aはサポートしているのに。。。

はい、非常に残念です。Verilog-HDLでの記述はあきらめるしかないのでしょうか?
回路図記述は面倒そうなのでできればやりたくはないのですが・・・。

> 以前、と言うより数日前まで(Quartus Ver.3が出るまで)は論理合成のみのソフトがDL可能でした。論理合成のスピードは遅く、使いづらいものでしたが性能はそこそこでした。
> 今はDLできないみたいですね。

全然知りませんでした。

そもそも、ライセンス発行停止なんて事態になるとは思っていませんでしたから・・・。

> MAX7000Aシリーズを使っている人はどうすればいいのでしょうね?

アルテラさんにはこういったところのサポートをどうにかしてほしいところです。

MAX7256AをVerilogで使う方法があればどなたか教えてください。
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 勉強について コメント数:  4件
  クランキー 2003/07/04 (金) 20:06
こんにちは。初めてカキコさせていただきます。
僕は大学3年生です。
今まで、将来についてほとんど考えた事がなく、本気で勉強を頑張ろうと思った事はなかったのですが、やっと興味をもつ物ができ、また、先のことも考えて勉強頑張ろうと思っています。
興味をもったというのは、半導体関係です。特に、アナログLSIについて面白く感じました。
そこで、将来役に立ちそうな、学生の時勉強しとくといいという物を教えてもらいたいです。
電気・電子回路、電磁気などは当然でわかっているんですけど、数学系で聞きたいです。
しかも、大きく行列、確立とかではなく、もう少し詳しく教えてもらえるとうれしいです。
人生の諸先輩方、よろしくお願いします
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re^5: マイコンとVB コメント数:  0件
  Daisuke! 2003/07/04 (金) 19:37
 http://www.ak.wakwak.com/~dh1011
秋月にPIC-LCDキットというのがありますよ。
VBでメールチェッカーにしようと思ってます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re^3: ディスクリートオペアンプ コメント数:  1件
  TD   | c346505k@tobata.isc.kyutech.ac.jp 2003/07/04 (金) 18:02
> メアドわかれば,回路図添付します.

ありがとうございます!!ぜひ回路図送ってください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: ディスクリートオペアンプ コメント数:  2件
  安藤 2003/07/04 (金) 11:57
> ディスクリートでオペアンプを作ろうと思うのですが、

目的が何か、はっきりさせた方が良いです。
既存のオペアンプで性能が足りないから作るというなら、
無謀だからお止めになった方が・・・ということになります。
世の中には、モノリシックでは無く、本当にディスクリートで
組んだそういったオペアンプも売られています。でも、一財産
出さねばなりません。それでも作るより安い。

勉強用なら、すでにRESが付いているような参考書を見ましょう。

  # お勧めです。

古い、データシートに全回路の載っているようなアンプは、当時
の製造技術による制約で、非常にアクロバティックな事をせざる
を得なかった回路を使ってるのが多くあります。ディスクリート
では、逆に作ることが不可能な回路ですので、そういうのは、あ
まり参考にしないことをお勧めします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re^3: パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  0件
  なひたふ 2003/07/04 (金) 05:55
> そうなんですか!?知りませんでした・・。
> ということは、USB - NP1003B - CPLD/FPGA といった感じで
> USB経由で開発ができるということですね。すばらしいです。
NP1003B経由で、FPGAやCPLDを書込みできます。
では、日曜日にその回路を公開します。

> NP1003B自体も "USB経由でコンフィグROMのリモートプログラミングが可能"
> ということなので、USBからNP1003Bにダウンロードケーブル用の回路を
> 書き込んで使うといった感じでしょうか?
そうです。NP1003BにUSB→JTAGの回路を組み込んで使用します。
FPGAのコンフィグが済み、FPGAが動作を開始したらもうコンフィグROMは
使用されませんので、FPGA経由でコンフィグROMを再コンフィグできます。
言い換えれば、FPGAが生きているうちにROMを書換えることができます。
CPLDだと、生きているうちの書換えはできません。

SDRAMが載っているので、うまくいけば高速書込みができるでしょう。
ちょっとしたマイクロコントローラを載せて、JTAGインタフェースを
作れば、有用な応用例のひとつになるかもしれませんね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re^3: パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  0件
  youju   | youju@traceon.org 2003/07/03 (木) 23:34
 http://traceon.org/mix-digital/
junさん、こんばんは。
返信ありがとうございます。

> 私も、同様にパラレルポートのないPCでどうしようかと悩みました。
> 私の解決策は
> http://www.ibsjapan.com/SPP-100.htm
> です。
> 通販等で2万円程度で入手可能です。
> 実際、このカードを使ってPLDにダウンロードして使用していますが問題ありません。

増設されたパラレルポートでも動くんですね!
情報を集めていた時に、増設されたポートでは動作しないとの事だったので、
すっかり諦めてしまってました。
わざわざ情報をありがとうございます。参考になりました!

なお、開発に使おうと思ってるPCは、コンパクトPCで
カードスロットも無いので、USB変換ケーブルでも買って試してみようかなぁ・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re^2: パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  1件
  youju   | youju@traceon.org 2003/07/03 (木) 23:23
 http://traceon.org/mix-digital/
こんばんは。なひたふさん。
返信ありがとうございます。

> NP1003Bをダウンロードケーブルにすることもできます。
> ただし、ソフトはXILINXの純正のiMPACTを使用することはできず、
> 弊社のNAXJPを使用することになります。

そうなんですか!?知りませんでした・・。
ということは、USB - NP1003B - CPLD/FPGA といった感じで
USB経由で開発ができるということですね。すばらしいです。

NP1003B自体も "USB経由でコンフィグROMのリモートプログラミングが可能"
ということなので、USBからNP1003Bにダウンロードケーブル用の回路を
書き込んで使うといった感じでしょうか?

なにぶん初心者なもので、また、くだらん質問をするかと思いますが、
よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 Re: ディスクリートオペアンプ コメント数:  0件
  jimmy 2003/07/03 (木) 22:34
「定本トランジスタ回路の設計」の第12章 「OPアンプ回路の設計・制作」が参考になるのではないでしょうか。回路の原理や設計方針から書かれています。

鈴木雅臣 著 CQ出版 ISBN4-7898-3048-9

また、ちょっと古いですがトランジスタ技術 1996年 4月に「特集 OPアンプまでの回路を実験しSPICEで検証する! 7石のトランジスタ」 というのもありますね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 ディスクリートオペアンプ コメント数:  4件
  TD 2003/07/03 (木) 20:46
ディスクリートでオペアンプを作ろうと思うのですが、既製品の内部回路をみてみて??が多いので困っています。
差動増幅器と定電流源とかがいるんだろうとは思うのですが・・・

作る際のコツとか他に何の回路いるのかを教えていただける方いませんか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^3: VHDLのデータタイプ コメント数:  1件
  Yoshi 2003/07/03 (木) 11:14
> その使いときが、よく分からないんです・・
> 回路内容を記述する時はstd_logicやintegerを使用して記述しますが、
> real、boolreanはテストベンチに使用するのでしょうか?


もともとVHDLはシステム記述言語です。論理記述だけに使われるものではありません。システムを記述する時にRealやBooleanを使って便利であれば使います。

ところで、あくまでも記述とインプリメンテーション(実装)は別物です。

VHDLの記述を使ってロジックの実装をする時にはシンセサイザが論理合成しますが、その時ライブラリの中に論理の合成規則がなければなりません。

実際Real型を使って論理合成をすると、シンセサイザのメーカーによっても
違いますが、「型宣言が無効」とか「シンボルが未定義」といったエラーになります。

これば、そのシンセサイザではその型をサポートしていないという事になります。(Realをサポートしているシンセサイザを私は知りません。)

私の理解ではReal型をサポートしようとすると内部表現が複雑になり、その処理(加減乗除など)の論理合成が実用的な速度では行なえないためだと思っています。

誰かメーカーの人、この点について是非コメント下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^3: Design waveの付録CPLDの開発環境 コメント数:  5件
  jun 2003/07/03 (木) 09:39
> 私もDesign Wave Magazine付録CPLDを購入した者です。
>
> > QuartusIIで、Verilog-HDLをサポートしている
> > と書いてありますけど?
>
> QuartusIIは、MAX7000Aはサポートしていないみたいですね。
> MAX7000B、MAX7000AE、MAX3000Aはサポートしているのに。。。
>
> > あと、Baseline用にQuartusの合成エンジン部のみを抜き出したのを、出すとか
> > いってました。まだ無いみたいでしたけど。
>
> ぜひ7000Aをサポートしてリリースしていただきたいですね〜。
そうなんですか。
以前、と言うより数日前まで(Quartus Ver.3が出るまで)は論理合成のみのソフトがDL可能でした。論理合成のスピードは遅く、使いづらいものでしたが性能はそこそこでした。
今はDLできないみたいですね。
MAX7000Aシリーズを使っている人はどうすればいいのでしょうね?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re^2: VHDLのデータタイプ コメント数:  2件
  あつ  | atumi@kinkei.co.jp 2003/07/03 (木) 09:14
ご回答ありがとうございます。

> realやboolreanは、使いたいときに使えばいいのでは?
その使いときが、よく分からないんです・・・
回路内容を記述する時はstd_logicやintegerを使用して記述しますが、
real、boolreanはテストベンチに使用するのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^2: Design waveの付録CPLDの開発環境 コメント数:  0件
  jun 2003/07/03 (木) 08:28
はじめまして。
Quartus II Web Edition のVer.3が出たようです。
これで問題ないのでは?
簡単ですが、よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^2: パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  1件
  jun 2003/07/03 (木) 08:22
> 開発に使おうと思っていたPCにパラレルポートが無いため、困っています。
> パラレルポートを使用したダウンロードケーブルでは、
> 増設されたパラレルポートや、USB-パラレル変換ケーブルなどでは動作しない
> ようなので、パラレルポートのあるPCを用意する以外に方法が無いのでしょう

はじめまして。
私も、同様にパラレルポートのないPCでどうしようかと悩みました。
私の解決策は
http://www.ibsjapan.com/SPP-100.htm
です。
通販等で2万円程度で入手可能です。
実際、このカードを使ってPLDにダウンロードして使用していますが問題ありません。
ちなみに、ALTERA社のPLDのみにしか使用はしていませんが。
参考になりましたら幸いです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re^2: Design waveの付録CPLDの開発環境 コメント数:  6件
  とおりすがり 2003/07/03 (木) 08:17
私もDesign Wave Magazine付録CPLDを購入した者です。

> QuartusIIで、Verilog-HDLをサポートしている
> と書いてありますけど?

QuartusIIは、MAX7000Aはサポートしていないみたいですね。
MAX7000B、MAX7000AE、MAX3000Aはサポートしているのに。。。

> あと、Baseline用にQuartusの合成エンジン部のみを抜き出したのを、出すとか
> いってました。まだ無いみたいでしたけど。

ぜひ7000Aをサポートしてリリースしていただきたいですね〜。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re: Design waveの付録CPLDの開発環境 コメント数:  8件
  SOH 2003/07/02 (水) 23:17
> Leonard spectrumはライセンスを取り忘れていたので使えません、
> フリーなツールで何を使えば良いかどなたか教えて下さい。
http://www.altera.co.jp/products/software/pld/products/quartus2/sof-quarwebmain_j.html
によれば、
QuartusIIで、Verilog-HDLをサポートしている
と書いてありますけど?
あと、Baseline用にQuartusの合成エンジン部のみを抜き出したのを、出すとか
いってました。まだ無いみたいでしたけど。

> ちなみに手元にあるのはMAX PLUS U 10.1 BASELINEとQuartusU ver2.2 SP1です。
QuartusIIVer3.0が出ましたので、そのうちWebEditionもVer3になるかな。

QuartusIIのVHDL合成エンジンも、Ver2位からかなり良い物になってるそうです。
Leonardoで作ってたのと、ほぼ同じ位の速度では動いてくれましたが、
Case文のべた書きは、BlockRAMを使う合成にはしてくれなかったです。
意外と小さくLEだけで合成はしてましたが。
ま、256行のCase文なんて、あまり勧められたもんではないですが。
ROMテーブルなんで、べた書きでやってみたら、LeonardoはROMになったので、
そのまま使ってます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re: 半導体素子の壊れ方 コメント数:  0件
  軽石 2003/07/02 (水) 19:32
こんにちは加藤さん

> 半導体素子でトランジスタは壊れたときにショートするのは解るのですが、

すでに回答が出ていますが、破壊のプロセスによって変わります。
ICなどの場合商品電流などは今まで通りなのに、1ピンの出力だけHIになりっぱなし
になったりする場合もありますし、内部抵抗がなくなって異常発熱を起こす場合があります。

> ダイオードやツェナーダイオード等
> はどうなのでしょうか?
> ショートするのかオープンになるのか教えてください。

たとえばですがツェナーなどの場合最初ショートした事により、発熱が起き、その結果として
熱で物理的に破壊(内部断線)がおきた場合破壊はショート、オープンどちらとお答え
すればよろしいでしょうか?

壊れ方は定格異常の電圧や電流による破壊、経年変化、振動によるクラックなど色々考えられます。
その結果どうなるかは誰も判りません。
結果が見えるだけです。(^^;

故障かどうかの判定はそのICが持つ機能が失われているか判断します。
(入力条件から出力条件を仮定し、それが実現できていないと故障・・・!)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re: VHDLのデータタイプ コメント数:  3件
  K-ichi   | k-ichi@mv.0038.net 2003/07/02 (水) 18:49
> HDLにはstd_logicなどデータタイプが色々ありますが、

VHDLしか知らないのですが、多分それだとして……

> この中で
> bit、real、booleanなどはどのような時に使うといいのでしょうか?
> 参考書などを見ると時々bitを使用しているソースがあります。
> あえてbit宣言している意味が分りません。

VHDLには87とか93とかバージョンがあるので、std_logicが使えない
時代のものなのかも。あと、16進表記の X"89AB" などは、
厳密にはbit_vectorでしか使えなかったような気がします。

いくつか無料版の論理合成ツールを使ってみましたが、
93に対応して、さらに多少のことは目をつぶってくれるのがLeonardo、
93にも完全対応してないのがAdvancedSynthesis(またはQuartus2)
というイメージがあります。
合成能力については、私に語るだけの能力がありませんのでパス。


realやboolreanは、使いたいときに使えばいいのでは?
たぶんrealで書いても、チップには載せられないでしょうけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re^3: オシロスコープのプローブ コメント数:  0件
  池畑  | ike@mail.spacelan.ne.jp 2003/07/02 (水) 10:58
> 以前写真で見たときはプローブの先にグランドのピンを取り付けるだけだったと思うのですがグランド用の端子とプローブの先をくっつけてもいいのでしょうか?
磁界の測定ですよね。ループができればOKです。
V=2×π×f×S×N×B
 V:pick-upコイル検出電圧、f:周波数、S:pick-upコイル断面積、
 N:pick-upコイル巻き数、B:磁束密度

電界の場合はモノポールアンテナでOKだったはず。
じゃ!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re^2: オシロスコープのプローブ コメント数:  1件
  kit 2003/07/02 (水) 10:08

> だからオシロスコープの先にワッカをつければOKではないでしょうか?
> 数十回巻けばいいと思います。

以前写真で見たときはプローブの先にグランドのピンを取り付けるだけだったと思うのですがグランド用の端子とプローブの先をくっつけてもいいのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Design waveの付録CPLDの開発環境 コメント数:  9件
  Nyya   | naoto_y_jp@mve.biglobe.ne.jp 2003/07/02 (水) 00:56
スイッチング方式の定電流回路の件では皆様にお世話になりました。
おかげさまで、INROF2003チャレンジコースにて優勝することが出来ました。
この場をかりて、アドバイスして頂いた皆様に感謝いたします。

ところで、Design waveの付録CPLD EPM7256Aをverilog HDLで記述し、
使いたいのですが、
Leonard spectrumはライセンスを取り忘れていたので使えません、
フリーなツールで何を使えば良いかどなたか教えて下さい。

QuartusUではMAX7000AEシリーズかMAX7000Bシリーズしか選択できないようなのですが、EPM7256ATC100-10をMAX7256AETC100-10としてコンパイル等を実行して書き込むことができるのでしょうか?(書き込むのは無理な気がするのですが・・・)

ちなみに手元にあるのはMAX PLUS U 10.1 BASELINEとQuartusU ver2.2 SP1です。

# XilinxのWEB packはとても分かりやすいので今まで困ったことは無いのですが・・・。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re^4: マイコンとVB コメント数:  1件
  電子 2003/07/01 (火) 23:48
> いちばん簡単なのは、パラレルポートを使うことです。
> VBでI/Oアクセスするプログラムを書きましょう。
> ボタンが押されたら、I/Oに何かを出力するというような・・

ありがとうございます。やはりVBとマイコンで製作できるのですね。
パラレルもシリアルも、どちらも可能だと思います。
とはいっても、VBでI/Oにアクセスするプログラムは難しそうですね。
まあ、それはできたとして、私のH8マイコンは、今のところLED8個と液晶とステッピングモ−タ−と、A/D変換のダイヤルがあるだけで、これで何ができるのか、検討します。他にも何か製作して機能をアップしようかな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^3: マイコンとVB コメント数:  2件
  なひたふ 2003/07/01 (火) 23:35
> > キーボードを押すとLEDが光るとか?
> そうです。そうです。そんな感じのものです。VB(ビジュアルベ−シック)を製作して、それでマイコンでなんらかの制御をするといった様な。そういうのって、できるんですかね−?

いちばん簡単なのは、パラレルポートを使うことです。
VBでI/Oアクセスするプログラムを書きましょう。
ボタンが押されたら、I/Oに何かを出力するというような・・

そしたら、パラレルポートに適当なマイコンをつないでください。
http://www.nahitech.com/nahitafu/mame/mame5/printer.html

最近はパラレルポートのないPCも多いので、
ちょっと面倒になりますが、シリアルポートでも構いません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 Re: 半導体素子の壊れ方 コメント数:  1件
  なひたふ 2003/07/01 (火) 23:32
> 半導体素子でトランジスタは壊れたときにショートするのは解るのですが、

そうなんですか!?
オープンで壊れることもあると思いますよ。
電圧で静電破壊することもありますし、電流で焼ききれること、
温度上昇で膨張して物理的に壊れることもあります。

> ダイオードやツェナーダイオード等
> はどうなのでしょうか?

実際に過電流を流して壊してみては如何でしょうか?
安全には十分注意してください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re: オシロスコープのプローブ コメント数:  2件
  なひたふ 2003/07/01 (火) 23:28
> 以前何かの本でオシロスコープのプローブでループアンテナ?をつくり電磁波を測定するというのを見かけたのですがどなたか詳しく知っている方いたら教えてください。

ループアンテナは、その中を通る磁界を検出します。
だからオシロスコープの先にワッカをつければOKではないでしょうか?
数十回巻けばいいと思います。

実際に作るとなると、おそらく、いろんな電磁波を受信してしまうでしょうが、
フィルタで落とすか、共振回路で特定の周波数だけ取り出せば、
その周波数の成分だけがオシロスコープで観察できると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  4件
  なひたふ 2003/07/01 (火) 23:18
> これからCPLD/FPGAを始めようと思っているyoujuと申すものです。
おめでとうございます。
すばらしい世界が広がっていることでしょう。

> 開発に使おうと思っていたPCにパラレルポートが無いため、困っています。
> パラレルポートを使用したダウンロードケーブルでは、
> 増設されたパラレルポートや、USB-パラレル変換ケーブルなどでは動作しない
> ようなので、パラレルポートのあるPCを用意する以外に方法が無いのでしょうか?
NP1003Bをダウンロードケーブルにすることもできます。
ただし、ソフトはXILINXの純正のiMPACTを使用することはできず、
弊社のNAXJPを使用することになります。
また、NAXJPではCoolRunnerがまだ扱えません。

XILINXから純正のUSB-JTAGケーブルを買うと、とても高価です。


> USB接続までいかなくても、シリアルポート接続の安価なダウンロードケーブルとか
> あれば助かるのですが・・・
NAXJPをシリアル対応にすることもできますが、
速度は恐ろしく低速になると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^2: マイコンとVB コメント数:  3件
  電子 2003/07/01 (火) 22:36
> キーボードを押すとLEDが光るとか?
そうです。そうです。そんな感じのものです。VB(ビジュアルベ−シック)を製作して、それでマイコンでなんらかの制御をするといった様な。そういうのって、できるんですかね−?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 Re: マイコンとVB コメント数:  4件
  通りすがる 2003/07/01 (火) 21:22
> どなたか、マイコンとBVを用いて何か製作できるものをご存知でしたら、教えてください。なんでも結構です。

キーボードを押すとLEDが光るとか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 パラレルポートの無いPCでのCPLD/FPGA開発 コメント数:  5件
  youju   | youju@traceon.org 2003/07/01 (火) 21:14
 http://traceon.org/mix-digital/
はじめまして。
これからCPLD/FPGAを始めようと思っているyoujuと申すものです。

開発に使おうと思っていたPCにパラレルポートが無いため、困っています。
パラレルポートを使用したダウンロードケーブルでは、
増設されたパラレルポートや、USB-パラレル変換ケーブルなどでは動作しない
ようなので、パラレルポートのあるPCを用意する以外に方法が無いのでしょうか?

ALTERA MasterBlaster Cable や、Xilinx MultiLINX Cable などは、
USBや、RS232C でも接続できるみたいなのですが、お値段がけっこうするようなので
個人で使うにはちょっと・・・といった感じです。
USB接続までいかなくても、シリアルポート接続の安価なダウンロードケーブルとか
あれば助かるのですが・・・

実際、こうやっているよ。といった体験談や、
何かアドバイス等いただけると嬉しいです。よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 マイコンとVB コメント数:  5件
  電子 2003/07/01 (火) 21:09
どなたか、マイコンとBVを用いて何か製作できるものをご存知でしたら、教えてください。なんでも結構です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 オシロスコープのプローブ コメント数:  3件
  kit 2003/07/01 (火) 20:15
以前何かの本でオシロスコープのプローブでループアンテナ?をつくり電磁波を測定するというのを見かけたのですがどなたか詳しく知っている方いたら教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 半導体素子の壊れ方 コメント数:  3件
  加藤 2003/07/01 (火) 18:05
こんにちは、加藤と言います。
教えていただきたいことがあります。

半導体素子でトランジスタは壊れたときにショートするのは解るのですが、
ダイオードやツェナーダイオード等
はどうなのでしょうか?

ショートするのかオープンになるのか
教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^2: なひショップについて コメント数:  0件
  おちあい  | user@sol.dti.ne.jp 2003/06/27 (金) 12:49
 http://nedan.info/
> > なひショップについて質問なのですが
> > なひショップは領収書を切っていただけるのでしょうか?
> > また、納品書、見積書などもお願いできるのでしょうか?
>
> 大丈夫です。
> 注文の際にメールに書いておいてください。
>
> ショッピングカートを簡単に作る方法はないかなぁ〜

電子部品販売用のショッピングカートを準備しています。
7月に稼動します。よかったらいかがですか?

各メーカー・商社・小売店の情報・在庫も串刺し検索できます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re: ステッピングモータの各励磁法について教えてください コメント数:  0件
  通り掛かり 2003/06/27 (金) 10:39
koudai さん、こんにちは

> ステッピングモータの各励磁法について教えてください

変な日本語ですね。

「ステッピングモータの各励磁法について述べよ。」といった課題
が出されたのでしょうか?
それを教えてもらうときに
「各励磁法について教えてください。」では変ですね。

各励磁法と言っているので、励磁の方法が複数あること
が伺えますね。

おそらく課題の前の講義で、いくつかある励磁方法の
ひとつが説明され、他は各自で調べましょうとなったの
ですよね。

今はインターネットがありますから、、Googleなどの
検索サイトで適切なキーワードを指定したら、関連の
ページが見つかります。

それらを適当に見比べて、最も教科書みたいに、図解して、
よく記述されているページを見つけて、、じっくり読んで
みてください。きっと理解できると思います。その中の
記述で分からないことがあったら、、またさらにその
キーワードを元に検索して調べてみてください。。。

それでも分からないことにぶつかったら、、
それまでの過程と分からないところをここに質問してください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re: ステッピングモータの各励磁法について教えてください コメント数:  0件
  pnms 2003/06/26 (木) 23:25
> ステッピングモータの各励磁法について教えてください

http://www.google.co.jp/search?hl=ja&;ie=UTF-8&oe=UTF-8&q=%E3%82%B9%E3%83%86%E3%83%83%E3%83%94%E3%83%B3%E3%82%B0%E3%83%A2%E3%83%BC%E3%82%BF+%E5%8A%B1%E7%A3%81%E6%96%B9%E6%B3%95&btnG=Google+%E6%A4%9C%E7%B4%A2&lr=

宿題の過去問(有料)サイトでも作れば小遣い稼ぎになるかな?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re: なひショップについて コメント数:  1件
  なひたふ 2003/06/26 (木) 08:36
> なひショップについて質問なのですが
> なひショップは領収書を切っていただけるのでしょうか?
> また、納品書、見積書などもお願いできるのでしょうか?

大丈夫です。
注文の際にメールに書いておいてください。

ショッピングカートを簡単に作る方法はないかなぁ〜
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 Re^3: 信号のレベル変換 コメント数:  0件
  mike   | mike1336@infoseek.jp 2003/06/25 (水) 17:02
 http://homepage.mac.com/mike1336/md/
nontaさん、ご指摘、ありがとうございます。

> mikeさん,トランスは整流器ではないし,直流を伝送しません.
たしかに、伝送線路トランスはdcを伝送できませんので、dc分を送る必要がある時は向かないかも知れません。(dcを送ると装置間のアースの取り方などノイズに対して不利になるので、dcを送らず、帯域を狭くする方が伝送としては有利に思えます。) 

> 伝送線路トランスなら,ACカップルにして,こうしたらいいでしょう.
直流が出るような信号源の場合はdcをCで切った方がいいかも知れません。
ただ、No.447でNontaさんご提案のCR回路もdcは伝送していませんね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re: 信号のレベル変換 コメント数:  0件
  Nonta 2003/06/25 (水) 14:20
Fauさん,はじめまして.
意味不明のレスを付けてごめんなさい.
レベル・シフトさせるだけなら,CRで出来ます.
こうですね.
Vcc

R1

@−−C−−IN

R2

GND
信号が入ったとき,@(出力)の最低電圧が
0Vになるように,R1かR2を設定すれば,良いでしょう.
Cは波形で決めます.
ロジックレベルにするには,手軽に100MHzのパルス波まで使える
コンパレータはありませんから,@に「SN74ALVC14」等の高速シュミット
インバータを接続し,@の直流電位はヒステリシスの真ん中に設定します.

ICとしては,インターフェースICのうちバストランシーバか
バスレシーバが使えると思いますが,他の機能も取り込んで
付加価値(=単価)を高めていて,手軽には使えないでしょう.
詳しい事はこれを読んで,メーカーのWebから捜してみてください.
http://www.ednjapan.com/ednj/200107/coverstory0107.htm
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 なひショップについて コメント数:  2件
  mog 2003/06/25 (水) 14:06
失礼します。
なひショップについて質問なのですが
なひショップは領収書を切っていただけるのでしょうか?
また、納品書、見積書などもお願いできるのでしょうか?

よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re: 信号のレベル変換 コメント数:  0件
  通り掛かり 2003/06/25 (水) 13:06
こんにちは

> H:+1[V]、L:-1[V]、NRZ符号で100MHz
> という感じの信号を扱っています。
>

差動でなくてシングルエンドなんですかね。。
とりあえず高速の差動レシーバってのがあるので、
シングルならレシーバの片方の入力をGNDに落として
つかってみたら?

http://www.national.com/JPN/ds/DS/DS90C402.pdf

それともひずんだ波形も保持してシフトしたいのでしょうか?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^2: 信号のレベル変換 コメント数:  0件
  個人研究者 2003/06/25 (水) 10:41
こんにちは。

単純にFETで受けてはどうでしょうか。
電源が正電源だけだとしても、ゲートに-1V程度の負電圧なら受けられると思います。ドレインをVccに接続し、ソース−GND間に適当な抵抗を入れれば、-1V−+1V入力でソースに正電圧のパルスがでますよね。(ただし電圧は1V未満)あとはコンパレータで0-2Vに整形というのはいかがでしょう?

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 VHDLのデータタイプ コメント数:  4件
  あつ  | atumi@kinkei.co.jp 2003/06/25 (水) 09:32
こんにちは。
HPを見させてもらってHDLの参考にさせていただいています。
HDLにはstd_logicなどデータタイプが色々ありますが、この中で
bit、real、booleanなどはどのような時に使うといいのでしょうか?
参考書などを見ると時々bitを使用しているソースがあります。
あえてbit宣言している意味が分りません。
またこの事について書いてあるHPをご存知の方がいましたら、
アドレスを教えて下さい。どうぞよろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re^2: 信号のレベル変換 コメント数:  1件
  Nonta 2003/06/25 (水) 08:31
mikeさん,トランスは整流器ではないし,直流を伝送しません.
伝送線路トランスなら,ACカップルにして,こうしたらいいでしょう.
出力側の1端を1Vの直流電圧源に接続して,そこにDCオフセット電圧を加えます.

高周波用トランジスタでアンプ作ってオフセット調整をした方が簡単だと思います.
アンプはオシロのバーティカルアンプが参考になります.
オフセットを加える手法は,レベルが変わると,最低電圧が0Vから変動します.
最低電圧を0V一定にしたければ,ビデオアンプの直流再生=クランプ回路を参考にしたらどうでしょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re: 信号のレベル変換 コメント数:  3件
  mike   | mike1336@infoseek.jp 2003/06/24 (火) 20:39
 http://homepage.mac.com/mike1336/md/
Fau さん、はじめまして。
アマチュアの知ったかぶりです。
伝送線路トランスを使ったらいかがでしょうか?
伝送線路トランスは50Ωの伝送線なら、0.2φ程度のポリウレタン線をよじって、フェライトビーズに5ターンほどバイファイラ巻して作ることができます。
よじった片方の線対を-1V-1V側に接続し、もう一方の線対のうちの1本をGNDに落とすと、もう1本から0-2Vの信号が得られると思います。帯域はフェライトビーズの材質にもよりますが、数MHz-1GHz程度はあると思いますので、インピーダンスの整合さえ誤らなければきれいな信号が得られると思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 タンタンさんへ コメント数:  0件
  ドラゴン 2003/06/24 (火) 19:56
タンタンさん、ありがとうございました。
大変助かりました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re: カウンタ回路について コメント数:  0件
  タンタン 2003/06/24 (火) 18:12
> こんにちは。いつも勉強させて頂いています。
> 素人質問で申し訳ないのですが、
> カウンタ回路についてお聞きします。
> 12MHZを分周して、1Sを作りたいです。
> フリップフロップのICでは、1/2、1/4となり、
> 16MHZだと1Sを作れるのですが、12MHZの場合は、
> どのようなICを使用すればよいのでしょうか?
> ご教授お願い致します。

こんにちは、タンタンです。

千石電商で、TC74HC40103 を売っていますので、これを使うのが
良いでしょう。
このICは、分周比を、1/2〜1/256の範囲で設定できるので、
3個使って、1/192 1/250 1/250 分周すれば、1Hzのパルスが作れます。

デューティを50%にしたいときは、2Hzのパルスを作って1/2分周する
と良いです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 Re^9: トランジスタの最大定格について コメント数:  0件
  Nonta 2003/06/24 (火) 17:16
> どうやらバイポーラは電流容量で利点があるようですね。
前にも書いたように,現時点では100Vを境にして,同一チップサイズなら,
100V以下:MOSFETはBJTよりも電流容量で利点がある.
100V以上:BJTはMOSFETよりも電流容量で利点がある.
と言うことです.
高圧用「CoolMOS」はコストダウンの可能性がありますが,現時点では,まだ高価です.

> ずっと前にCMOSよりTTLが速いと聞いたような気がします。
ここら辺の高速ロジックのことは,専門誌(NE,ED,EDN等)で時々特集されますから,
昔の4000シリーズの常識は捨てた方がよいでしょう(P4はCMOSですよ).

> 何れにしてもコストを意識して比較するとMOSFETに分がある感じでしょうか。
ですから,「現時点」では,低圧ではMOSFET,高圧ではBJTに分があります.

MOSFETのことは,この本がわかりやすいと思います.
http://www.amazon.co.jp/exec/obidos/ASIN/4789836010/ref=pd_bxgy_text_1
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re^8: トランジスタの最大定格について コメント数:  1件
  個人研究者 2003/06/24 (火) 14:57
 ありがとうございます。

> バイポーラは、高電圧をするスイッチングする用途では、素子の性質上、FETよりもチップの単位面積あたりの電流を多く流せるので、スピードを要求されなくて、とことん大量生産する場合には、FETよりもコストが安くなる可能性があります。身近な例では電磁調理器等ですね。(使ってるのはIGBTですけど・・・)

 どうやらバイポーラは電流容量で利点があるようですね。ずっと前にCMOSよりTTLが速いと聞いたような気がします。何れにしてもコストを意識して比較するとMOSFETに分がある感じでしょうか。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 信号のレベル変換 コメント数:  6件
  Fau 2003/06/24 (火) 13:51
はじめまして。
今、私はデジタル信号の伝送を実験しています。
実際にどんな信号かというと・・・
H:+1[V]、L:-1[V]、NRZ符号で100MHz
という感じの信号を扱っています。
それでこの信号を
H:+2[V]、l:0[V]、という風に電圧レベルをシフトさせたくてICを探しているのですが、それっぽいICが見つかりません。
というか、このようなICは存在しないのでしょうか?
ヒントみたいなものでもいいので教えていただけないでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 カウンタ回路について コメント数:  1件
  ドラゴン 2003/06/24 (火) 13:04
こんにちは。いつも勉強させて頂いています。
素人質問で申し訳ないのですが、
カウンタ回路についてお聞きします。
12MHZを分周して、1Sを作りたいです。
フリップフロップのICでは、1/2、1/4となり、
16MHZだと1Sを作れるのですが、12MHZの場合は、
どのようなICを使用すればよいのでしょうか?
ご教授お願い致します。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^6: トランジスタの最大定格について コメント数:  0件
  Nonta 2003/06/24 (火) 11:18
> 電源にしてもアンプにしても、解説書を見るとまずBJTの回路から入ってFETに解説が進むようですね。
安藤さんのゆうように、おぢさんが書いているからです。おぢいさんが書けば、真空管から始まります。

> MOSFETと比較してBJTにメリットがあるのは、具体的にどんなケースなのでしょうか。
ローテクかローコストです。ローテクつまり真空管(電子管)との組み合わせは、BJTが多いです。
TV、モニターに使用されている陰極線管の偏向・高圧回路はBJTです。
これは、ONが抵抗性だと画面が曲がるため、BJTのような電圧性デバイスが使用されます。
電子レンジの磁電管回りは、MOS型デバイスで出力がBJTのようなIGBTが使用されています。

ローテク・ローコスト電源の筆頭は、ATX電源ですが、これはBJTのハーフブリッジが多いです。
300Wで1000円程度では、BJTを使って部品をケチりながらカット&トライでやらないと無理でしょう。

> 自励式のRCCスイッチング電源の回路など、どう考えてもFETの方が理解しやすいと思います。
安藤さん、何か誤解があるような?
ターンオフはBJTのhFEの制約により、ONを維持できないことから来ています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^5: トランジスタの最大定格について コメント数:  1件
  安藤 2003/06/24 (火) 10:59
> 2SC1815(Ic=150mA)クラスの石で定格電流100mAクラスの電球を
> ドライブすることはかなり困難といおうか不可能ではないでしょうか?

定常状態の電流では、まだ石のコレクタ飽和電圧はそんなに高くないので、熱的な問題は起こりません。言われているように、10倍以上流れる点き始めの電流をどうするかですが、自分の常識では「そんなのだめじゃん」というのを、敵は平然とやってしまうので、唖然とするわけです。

繰り返しますが、即座にはは壊れない程度の話です。作った直後通電して壊れる場合は、石を交換してみてまた試す。あまりどかんと電流が流れない程度に、ベース電流を制限して、熱的に壊れるときはまた少し条件を変えて、という話なので、とても系統的なノウハウなどというものではありません。

最初、まじめな(笑)試作回路を渡したら、向こうはどんどんコストダウンを計って、そういう回路になってしまうという、精神衛生に悪かった話です。

けれども、彼らから見習うべきは、「この製品をコストダウンして、絶対儲けてやる!」という凄まじい根性です。ああいうモチベーションを常に持ちつづけたいものです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^7: トランジスタの最大定格について コメント数:  2件
  安藤 2003/06/24 (火) 10:28
>  電源にしてもアンプにしても、解説書を見るとまずBJTの回路から入ってFETに解説が進むようですね。そこで、どなたかに教えていただきたいのですが、MOSFETと比較してBJTにメリットがあるのは、具体的にどんなケースなのでしょうか。基本的な質問ですみません。

BJTから説明が始まるのは、歴史を辿ると分かり易い、というよりも、自分の理解の過程を書くしか、解説書の著者が原稿を上げられなかったのではないかと、邪推してます。(昔の本の場合。不勉強で、最近の本は読んでません)
自励式のRCCスイッチング電源の回路など、どう考えてもFETの方が理解しやすいと思います。

バイポーラは、高電圧をするスイッチングする用途では、素子の性質上、FETよりもチップの単位面積あたりの電流を多く流せるので、スピードを要求されなくて、とことん大量生産する場合には、FETよりもコストが安くなる可能性があります。身近な例では電磁調理器等ですね。(使ってるのはIGBTですけど・・・)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re^6: トランジスタの最大定格について コメント数:  3件
  個人研究者 2003/06/24 (火) 09:31
 こんにちは。

> 勤務先でも,BJTが出てくると,おぢさんの仕事です.
> 若者は,テクノロジーの本流を逝くMOSFETを使っています.
> 通り掛かりさんも,安藤おぢさんの挑発に乗らず,技術の本流を歩みましょう.

 電源にしてもアンプにしても、解説書を見るとまずBJTの回路から入ってFETに解説が進むようですね。そこで、どなたかに教えていただきたいのですが、MOSFETと比較してBJTにメリットがあるのは、具体的にどんなケースなのでしょうか。基本的な質問ですみません。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 テクニックとテクノロジー コメント数:  0件
  通り掛かり 2003/06/24 (火) 09:26
Nontaさん こんにちは。毎回的確な指摘にしびれています。

> 通り掛かりさんも,安藤おぢさんの挑発に乗らず,技術の本流を歩みましょう.

仰るとおり、フラットな気持ちで、「車載用電球おもちゃ」の
設計を考えると、モバイル用車載用で安く小さく高性能になった
MOSFETとマイコン等を使用する。ってのが解ですよね。

見事に挑発に釣られてしまった私もおぢさんです。
昔のテクニックにとられています。

通り掛かりは職業的にはソフト屋でその世界でも同じような
現象があります。昔はハードのスペックが弱く、ソフトで工夫を
して少ステップ少リソース化にしのぎを削ってましたが、、今では
ごく狭い分野を除いては、そんなしのぎはナンセンスですよね。

ご存知の方も多いかもしれませんがリンクを紹介しておきます。
ソニー増井氏富豪的プログラミング
http://www.csl.sony.co.jp/person/masui/fugo.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^5: トランジスタの最大定格について コメント数:  5件
  Nonta 2003/06/23 (月) 21:54
< おもちゃに使われている工夫について具体的に、時系列的にベース電流を、
< パルス幅を、増大、減少、とかの情報にしていただくととても助かります。

若者は,テクニックではなくテクノロジーを学びましょう.
パワーデバイスの場合,同一定格なら,100V以下はMOSが
BJT(バイポーラ)よりも安価です.
それ以上の電圧でも,ドライブの容易さ,SOAの広さ,
スイッチング・スピードの速さからMOS型デバイスが使われています.
安藤おぢさんも,業務ではMOS型デバイス専門の筈です.
勤務先でも,BJTが出てくると,おぢさんの仕事です.
若者は,テクノロジーの本流を逝くMOSFETを使っています.

通り掛かりさんも,安藤おぢさんの挑発に乗らず,技術の本流を歩みましょう.
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re: 100円ショップのUSBケーブル。 コメント数:  0件
  Daisuke! 2003/06/23 (月) 21:41
 http://www.ak.wakwak.com/~dh1011
> 100円ショップにUSBケーブルが売られているのですね。
たしか、ダイソーでUSBの携帯充電器がありました。(たぶんアレのパクリ)
電源だけで、ケーブルが細いからいろいろ遊べそう。
携帯用の乾電池ボックスもシガーライターのプラグをつなげれば充電器が安くできるし...
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 100円ショップのUSBケーブル。 コメント数:  1件
  池畑  | ike@mail.spacelan.ne.jp 2003/06/23 (月) 21:06
ちわー
100円ショップにUSBケーブルが売られているのですね。
ABタイプと延長用とがありました。
ちょっと前なら、予備に買うところですが。。。。
横には、イヤホンがあります。もう世の中同じレベルなのでしょうね。
今年こそ,USBを我が物に!


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^4: トランジスタの最大定格について コメント数:  8件
  通り掛かり 2003/06/23 (月) 16:24
安藤さん。こんにちはお久しぶりです。

> の中身を見ると、2SC1815クラスの石で1Wの白熱電球を堂々とドライブして
> たりしますね。

2SC1815(Ic=150mA)クラスの石で定格電流100mAクラスの電球を
ドライブすることはかなり困難といおうか不可能ではないでしょうか?
同じ形状(TO92)でIc=2A クラスの石なら簡単に、1Aクラスでしたら
工夫がいるでしょう。
工夫のひとつとして消費電力を考慮しないでいい場合にOFF時にも
抵抗等でCE間をバイパスさせほんのり点灯させる手法があります。

> いて、微妙にベースの電流を制限したり、いろいろノウハウを詰めてあり
> ます。

って、おもちゃに使われている工夫について
具体的に、時系列的にベース電流を、、パルス幅を、、増大、減少、、とかの
情報にしていただくととても助かります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re^3: トランジスタの最大定格について コメント数:  9件
  安藤 2003/06/23 (月) 10:06
> 一方、Icは熱損失決まり、接合部の温度が約150℃(実際には200℃くらいなら短時間で不純物分布が変わらないため性能が劣化るつことは少ないと思います)以下になればよく、μs程度の時間の単一パルスなら、チップの熱容量があるため、かなり大きな電流が流せます。この場合、i^2*tが目安になります。

台湾製の自動車関係の光り物(ランプが点いたり消えたりするおもちゃ類)
の中身を見ると、2SC1815クラスの石で1Wの白熱電球を堂々とドライブして
たりしますね。
温度環境最悪の車用品で、白熱電球の突入電流とか何も考えて無いようで
いて、微妙にベースの電流を制限したり、いろいろノウハウを詰めてあり
ます。
少なくとも取り付けてしばらく(ユーザーが飽きるまでの短い時間)動作
させることは出来るものが、作られる。

ただ、そんなことは、勉強中のアマチュアがやることではありません。ま
ずは、スタティックに安全に動作できる設計、さらに、動的な熱設計など
のテクニックを学習した上で、商売としてコストの圧力のもとでやる仕事
です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re^3: トランジスタの最大定格について コメント数:  0件
  shirou 2003/06/22 (日) 21:45
就職したてのころ、仕様100V耐圧のダイオードをドラム缶で輸入し、
それを分類し、200V耐圧として売れるものをとりだして販売している
業者がおりました。(買っていました)
もちろん正当なやりかたです。
OPアンプからバランスの良いものをよりだして、高価で販売するところも
ありました。
これも正当です。
絶対最大定格といえ、最悪値なんでしょうね。
やはり、わざと壊すことが勉強になりそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 Re^2: トランジスタの最大定格について コメント数:  11件
  mike   | mike1336@infoseek.jp 2003/06/21 (土) 18:20
 http://homepage.mac.com/mike1336/md/
みなさん、はじめまして。

プロが製品としてが設計するなら、タンタンさんや軽石さんが
コメントされているように絶対最大定格を守り、ディレーティングをとり、
安全動作領域内で使うべきだと思います。

しかし、アマチュアが実験に使うなら、
> いくつかトランジスタを壊しながら慣れていけば本当の限界点を見極める事が出来る
> かもしれませんね。(^^;
ということで、ちょっと考えてみます。

高電圧トランジスタのVcboは表面リークや接合内部のアバランシェ降伏から電流集中、熱暴走にいたるため、ns程度の余裕しかないため、最大定格を守った方がよさそうです。
一方、Icは熱損失決まり、接合部の温度が約150℃(実際には200℃くらいなら短時間で不純物分布が変わらないため性能が劣化るつことは少ないと思います)以下になればよく、μs程度の時間の単一パルスなら、チップの熱容量があるため、かなり大きな電流が流せます。この場合、i^2*tが目安になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re: beldenの配線材 コメント数:  0件
  Nonta 2003/06/20 (金) 22:43
> belden8412、belden銀メッキを購入してみたいと思うのですが、
> どなたかネットから購入できるところはご存知ありませんか??
ラジオデパートの前にある「オヤイデ電気」で
扱っていたと思います.
TELしてみたらどうでしょう?
http://www.oyaide.com/d_shop/index.html
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 beldenの配線材 コメント数:  1件
  入江 2003/06/20 (金) 20:43
belden8412、belden銀メッキを購入してみたいと思うのですが、どなたかネットから購入できるところはご存知ありませんか??
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 ラジオ音響技能検定について コメント数:  0件
  入江 2003/06/20 (金) 20:17
ラジオ音響技能検定があることをはじめて知ったのですが、過去問題集とかあったりするのですか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re: トランジスタの最大定格について コメント数:  0件
  タンタン 2003/06/20 (金) 11:55
タンタンです。

> こんにちは、一つ教えて欲しいことがあります。
> トランジスタやFETの最大定格なのですが、
> たとえばVcbo=1700V とかIc=6A とかあるとき
> この値はピークなのでしょうかそれとも実行値なのでしょうか
> すみません、教えてください。

ピーク値です。
ただし、同時に1700V、6Aとかが加わると壊れてしまいます。

スィッチング用のパワートランジスタのデータシートには、
大抵、安全動作領域(Safe Operating Area)についての記述が
あるので、その範囲内で使用するようにします。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 Re: トランジスタの最大定格について コメント数:  12件
  軽石 2003/06/20 (金) 09:13
やましたさんこんにちは

> こんにちは、一つ教えて欲しいことがあります。
> トランジスタやFETの最大定格なのですが、
> たとえばVcbo=1700V とかIc=6A とかあるとき
> この値はピークなのでしょうかそれとも実行値なのでしょうか
> すみません、教えてください。

絶対最大定格と規定されている場合は瞬間でも越えてはいけません。
(ICの生存は保障されません)
最大定格というの場合はある程度は大丈夫かもしれません・・・・が、
製品の場合トランジスタなどの場合最大定格ぎりぎりで使う事は基本的にありません。

たとえ一瞬でも十分マージンを取った定格の範囲内で設計します。
どのような使い方をされるつもりか判りませんが、定格の1/2位で設計を始められるのがよろしいと思います。

いくつかトランジスタを壊しながら慣れていけば本当の限界点を見極める事が出来る
かもしれませんね。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 トランジスタの最大定格について コメント数:  14件
  やました 2003/06/20 (金) 08:06
こんにちは、一つ教えて欲しいことがあります。
トランジスタやFETの最大定格なのですが、
たとえばVcbo=1700V とかIc=6A とかあるとき
この値はピークなのでしょうかそれとも実行値なのでしょうか
すみません、教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 Re: 作成していただける人がみつかりました コメント数:  0件
  K-ichi   | k-ichi@mv.0038.net 2003/06/19 (木) 18:53
> みなさん貴重な情報ありがとうございました。
せめて、何のI/Fを使ったおおよそどんなもの、ぐらいは書いてくれても
罰は当たらない気がするのですけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: 3端子電源ICについて コメント数:  0件
  軽石 2003/06/17 (火) 09:22
こんにちはきむらさん

> 3端子電源ICについて、教えて下さい。
> 最大定格電圧が、35V、40Vになっていますが、これ以上の電圧を加えら
> ICが壊れるのでしょうか?

きむらさんが持ち上げる事が出来る重さ(たとえば80kg)を最大定格とした場合
90kgのものを持ち上げたらどうなるでしょうか?

がんばって持ち上げる事が出来るかもしれませんが、もしかしたらぎっくり腰になるかもしれません。
ICもおんなじです。
がんばってなんとかなるかもしれませんが、ならない時(壊れる)もあります。

> 或いは、出力電流が維持出来ないのでしょうか?

10Vの入力を5Vに変換する際にレギュレータはなにをしているか考えて見ましょう。
レギュレータは電圧差を熱に換えます。

高い電圧を与えれば熱量が増える事になります。

トランジスタはある一定の温度以上だと壊れてしまいます。(150度)
最大定格以上の電圧だとレギュレータの熱が高くなって壊れる・・・と思っていますがこんな説明で良いでしょうか?(^^;

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 Re: 3端子電源ICについて コメント数:  0件
  なひたふ 2003/06/17 (火) 07:58
> 3端子電源ICについて、教えて下さい。
> 最大定格電圧が、35V、40Vになっていますが、これ以上の電圧を加えら
> ICが壊れるのでしょうか?
> 或いは、出力電流が維持出来ないのでしょうか?

どこの部分が壊れるかは断定できませんが、
INPUTとOUTPUTの間は、トランジスタのCとEになっています。
このコレクタエミッタ間が降伏してしまうのではないでしょうか?

入力の電圧に耐え切れなくなって、
出力が一気に溢れ出すのではないかと思います。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re: 3端子電源ICについて コメント数:  0件
  タンタン 2003/06/17 (火) 07:41
> 3端子電源ICについて、教えて下さい。
> 最大定格電圧が、35V、40Vになっていますが、これ以上の電圧を加えら
> ICが壊れるのでしょうか?
> 或いは、出力電流が維持出来ないのでしょうか?
>
> どなたか、教えて下さい。

最大定格を超えて使用した場合、どんな不具合が出てもメーカーは
保証してくれません。どんな動作になるかも保証してくれないのが
一般的です。

3端子レギュレータについては、下記のURLから、
“三端子レギュレータの使い方”を読んでください。
http://www.necel.com/cgi-bin/nesdis/o006.cgi?article=UPC7805A

3端子レギュレータにも色々種類があるので、実際に使用するICの
仕様書をよく読んでください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re: 3端子電源ICについて コメント数:  0件
  ZZzz 2003/06/17 (火) 02:13
> 3端子電源ICについて、教えて下さい。
> 最大定格電圧が、35V、40Vになっていますが、これ以上の電圧を加えら
> ICが壊れるのでしょうか?
> 或いは、出力電流が維持出来ないのでしょうか?
>
> どなたか、教えて下さい。

最大定格電圧の言葉通り、メーカーが保障している電圧値なだけで、それを超えた
からといって、
即、素子の破壊につながるとは限りません。
まあ、意味の無いことはしない方が無難です。耐圧試験でもやってるなら別ですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^4: ピン定義に関する質問です コメント数:  0件
  いしい 2003/06/17 (火) 00:25
> 未使用ピンが削除されない方法として、
> ゴミ信号を定義する方法を使うのはどうでしょう?
>
> gomi <= IN_A and IN_B and IN_C and IN_D and ・・・
>
> 全部同時にHになることは*おそらく*ないと想定される状況で
> いろんな入力のANDをとると、その信号はおそらくLになりますね。

この方法いいですね!確かに最適化(?)されなさそうですね!

> VHDLファイル中に指定する場合は、次のようにします。
> attribute pulldown: string;
> attribute pulldown of IN_A: signal is "yes";
>
> Verilogでは、次のようにします。
> // synthesis attribute pulldown of IN_B is yes;

Verilogで例を示していただいて、ありがとうございます!
さっそく試してみようと思います。

いい情報をどうもありがとうございました。

さて趣味開発サイトはどっちかというとVHDLが盛んですね。
僕も乗り換えようかな、って思ってしまいます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 3端子電源ICについて コメント数:  4件
  きむら  | square@rc5.so-net.ne.jp 2003/06/16 (月) 22:12
3端子電源ICについて、教えて下さい。
最大定格電圧が、35V、40Vになっていますが、これ以上の電圧を加えら
ICが壊れるのでしょうか?
或いは、出力電流が維持出来ないのでしょうか?

どなたか、教えて下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^2: グローバルリセット コメント数:  0件
  あつ  | atumi@kinkei.co.jp 2003/06/16 (月) 18:02
ありがとうございます。

>GCKも表示されないですよね?
クロックラインは全て表示されているように思います。

GSR、GTSについては動作確認ができました。
結局このcomponentって使う方がベターなんでしょうか?
配線リソース消費しないみたいだし・・・
みなさんは使用されてますか、教えて下さい。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re^3: ピン定義に関する質問です コメント数:  1件
  なひたふ 2003/06/16 (月) 03:16
未使用ピンが削除されない方法として、
ゴミ信号を定義する方法を使うのはどうでしょう?

gomi <= IN_A and IN_B and IN_C and IN_D and ・・・

全部同時にHになることは*おそらく*ないと想定される状況で
いろんな入力のANDをとると、その信号はおそらくLになりますね。

このゴミ信号を、余っているIOから出せば、
IN_A、IN_B、IN_C、IN_D・・・は、使用されるため削除されません。
ダミーに使うIO出力が余っていなければ、
FPGAの本来の出力に必要な信号とのORを取ります。
gomiは、おそらくLだから、影響ないでしょう。

さて、未使用の入力ピンが削除されないようにしたところで、
プルアップや、プルダウンを指定するには、UCFファイルで
指定する方法と、ソースコード中に埋め込む方法があります。

UCFファイルで指定する場合は、次のように定義します。
NET "IN_A" pullup;
NET "IN_B" pulldown;
NET "IN_C" keep;

VHDLファイル中に指定する場合は、次のようにします。
attribute pulldown: string;
attribute pulldown of IN_A: signal is "yes";

Verilogでは、次のようにします。
// synthesis attribute pulldown of IN_B is yes;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^2: ピン定義に関する質問です コメント数:  2件
  いしい 2003/06/15 (日) 21:28
> CONFIG PROHIBIT = P100
>
> と、書けばそのピンはユーザーによって予約されるので、
> ツールによって勝手に使用されないようになります。

いつも有用なアドバイスをくださり、ありがとうございます。

この記述で試してみます。
どうもありがとうございました!

(こういうのは出来ればOUT-L/H,Hi-Zとか選べると
とっても便利な気がしますが難しいんでしょうかね〜)

今後とも、よろしくお願いいたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^2: ありがとうございます・・・引き続き・・・ コメント数:  0件
  軽石 2003/06/15 (日) 11:34
> ということなら、業者にあたってみるわけにはいかないんでしょうか?
> そういう業界の人ではないので詳しく知りませんけど、
> 「USBにつなげる2桁の7セグ表示器」をたくさん買うから試作して、と頼めば、
> 5000円/1台もかからない気もするんですけど。
>
> かかるんでしょうか? >業界の人

人件費を無視して試作部品代だけだったら3000円くらいだと思います。
(試作人件費は1人日かな?)

ただしUSBの場合ベンダーコードなどを考えると100台、@5000円位は
欲しいですね。
(基板を設計してもらうとするとそれなりにかかってしまいます。)

部品台が約2000円、ソフト開発、ベンダーID取得(?)、基板設計、実装を考えたら
これくらいが妥当・・・・かな?(高いかな?)

シリアルタイプであれば100台の二桁LED表示装置でシリアル制御だと2〜3000円位
で出来そうな気もします。
(回路的にはこちらが楽ですね、電池駆動にしたりします。)

>
> ちょっぴりVBか何かが使えて、ちょっぴりハンダ付けできる人なら、
> 材料費5000円で十分作れそうな……。

材料費だけだったらおつりがきますね。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re: ありがとうございます・・・引き続き・・・ コメント数:  0件
  森 秀樹 2003/06/15 (日) 09:28
大量という言葉は1万個ぐらいかなあ。1万なら1500円ぐらいに出来るかも。
プログラム不要というのは、キットとか実習用でロジックを学習させたい
のでしょうか。それとも、単に機能が実現できればいいのでしょうか。
もし、教育用でなく、1万個も出るなら、
USB ケーブル、FDTのFT8U245AM, ワンチップ CPU, 高輝度 LEDで基板
を作って、ケースに収める。
もし教育用なら、プリンターポートから信号を取ってD0-D7の7BITと
RESET, STROB で LED のD/FF に入れる。それから LED をドライブする。
電源は 5V DC か、USB コネクターから5Vを得る。これでも2000円
切るのではないでしょうか。基板作るコスト考えると200個程度必要。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re^2: HDL Bencher の機能制限について コメント数:  0件
  かず 2003/06/14 (土) 19:45
> 私は、WebPACK4.2ですが、そのような制限制限はないようです。

私もあれからWebPACK 5.2i をインストールして使用してみましたが確かに
制限は無いようですが、初心者の為3.2との仕様の違いで戸惑っています。
機能が拡張されて難しくなった気がします、又3.2で作成したプロジェクト
がそのままでは5.2で使えなかったり苦労しています。
ご回答どうも有り難うございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 Re^2: 7セグメントの表示器 コメント数:  0件
  yama 2003/06/14 (土) 19:35
私もVFDを使ってます。
色は、青緑系ですから好き嫌いはあるかもしれません。
機種は、RS232C接続だとGU140X32F-7000というもので、
電源もついてますから楽です。
表示エリアは、7cmX2cmぐらい。
倍角文字モードで使えるかもしれません。
多少のカスタマイズはしてもらえるとのこと。


> 出来合いのを購入するのがよろしいと思います。
> 7セグではありませんが、私がお勧めするのはノリタケのVFDです。
> 文字数が多いし見やすいし、シリアルで制御できます。
>
> http://www.itron-ise.co.jp/
>
> 欠点は値段が高い事ですが、発色は最高です。(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re: ありがとうございます・・・引き続き・・・ コメント数:  1件
  K-ichi   | k-ichi@mv.0038.net 2003/06/14 (土) 19:35
> テストがうまくいけば大量に購入する可能性もあり、

ということなら、業者にあたってみるわけにはいかないんでしょうか?
そういう業界の人ではないので詳しく知りませんけど、
「USBにつなげる2桁の7セグ表示器」をたくさん買うから試作して、と頼めば、
5000円/1台もかからない気もするんですけど。

かかるんでしょうか? >業界の人

ちょっぴりVBか何かが使えて、ちょっぴりハンダ付けできる人なら、
材料費5000円で十分作れそうな……。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re: HDL Bencher の機能制限について コメント数:  1件
  なひたふ 2003/06/14 (土) 15:51
> XilinxのWebpack3.2WP3 のHDL Bencherを使って,CPLDのシュミレーション用のテストベンチを作成していますが、Webpackの場合信号割り当てが21個までの機能制限が有り不便で仕方有りません、最新のWebPACK 5.2i でも機能制限は変わってないのでしょうか。
> 又、正規品のISE Foundation 等では機能制限は無いのでしょうか。
> ご存知の方がおられましたら教えてください。

私は、WebPACK4.2ですが、そのような制限制限はないようです。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 500 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.