なひたふ新聞読者の声

なひたふ新聞に載っている回路についての疑問や、電子回路のついて
の話題、FPGA/CPLDのことなど、何でもご自由にお書きください。

日付順表示スレッド表示ホームページへ戻るヘルプ


より新しい記事へ ← 491 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 


100 わからない コメント数:  0件
  回路屋 2001/03/24 (土) 22:18
SG3524というICで二系統のパルスを発生させ、それをST-26(山水)インプット
トランスにて発振パルスをひとつにして二次側の出力をフォトカプラTP521のエミッター側
から取り出しトランジスタにて増幅して その信号をボリューム(10KΩ)の3番に
接続し2番をFET及びIGBTのゲートに接続し1番を5.1kΩと2kΩの直列でGNDに
接続します。 
そしてAC220Vを整流し(DC)に変換しその+BをダイオードブリッジのACラインに接続し
出力をドレイン及びコレクタに接続して出力側プラス端子とマイナス間でコンデンサーをとうして
ボリュームを可変することにより電圧を調整したいのですが、AC100Vで60Wの電球
でテストするとうまく行くのですがAC220Vをつないでテストすると素子が壊れて
しまいます、何が影響しているのでしょうか 教えて下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


99 Re^4: オンボード通信 コメント数:  4件
  Yoshi 2001/03/24 (土) 03:25
シリアル通信をするときにいつも思うことは使う言葉の定義を曖昧なままに
していることが多いということです。RS232Cは伝送信号と伝送制御に
関わる規格で、”RS232C”と言ったらその信号レベルは規格に合致
していなければなりません。従ってボード内であっても”RS232C”
といったら規格を守らなければなりません。

初めに質問された方が”ボード内でUARTを使って非同期通信するときに
信号を直結できますか?”(質問の意味はこういうことと思います。)と
聞いたら議論の余地なく”出来ます。”で終わったと思うのです。

これは言葉の遊びのように聞こえますが、製品として出荷する場合に内部で
”RS232C”を使っていると仕様に書くと、それが規格に合致して
いなければ虚偽の仕様となり、もし事故がおきると製造物責任を問われること
にもなります。

それからDB25のコネクタを使うときも、オス型とメス型のコネクタはDTE
とDCEの区別があり、PC98のシリアルポートはDTEなのにメス型の
コネクタを使ったために、端末のシリアル接続で無用の混乱を招いたことは
記憶に新しいですね。

製品を作るときは是非用語の定義を一度見直ししましょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


98 Re^3: オンボード通信 コメント数:  5件
  chan   | chan@elm-chan.org 2001/03/24 (土) 01:32
パソコンのCOMポートとつなぐときもTTLレベル
直結なんてのもOKです。
RS-232Cドライバの入力スレッシホールドは+1V
程度なので、TTLレベルで出しても受けられるん
ですよね(3V振ってやればOK)。同様に受け側は
抵抗かまして入力保護ダイオードに流れる電流を
抑えます。

それなんで、自作モノではRS-232Cドライバは
使っていません。結構いい加減(笑)。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


97 Re^2: オンボード通信 コメント数:  6件
  soh97   | soh97@lycos.ne.jp 2001/03/24 (土) 00:05
> > 一枚のボード上でRS232Cポート同士の通信するときって
> > 直結してもいいよねぇ?たぶん。
> そうです。普通は、直結してもいいですよ。
複数間でも距離が短いならば、CMOSレベルで引っ張りまわしてもOKです。
と言うかやってます。CMOSのバスバッファをかました信号を外に
出して通信してます。専用ポート扱いで、実はRS232Cの信号が流れてます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


96 Re: オンボード通信 コメント数:  7件
  なひたふ 2001/03/23 (金) 00:54
> 一枚のボード上でRS232Cポート同士の通信するときって
> 直結してもいいよねぇ?たぶん。

そうです。普通は、直結してもいいですよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


95 オンボード通信 コメント数:  8件
  JUN猫 2001/03/22 (木) 22:26
一枚のボード上でRS232Cポート同士の通信するときって
直結してもいいよねぇ?たぶん。
わざわざ232Cの石載せて・・・なんてしないよなあと思った。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


94 ありがとうございます コメント数:  0件
  inoue   | td8h-inue@asahi-net.or.jp 2001/03/22 (木) 21:28
アドバイスありがとうございます。
とりあえずオシロスコープは持っているので、
本を読んで知識を増やしていこうと思います。
これから、またお世話になることもあるかもしれませんが、
その時はよろしくお願いします。
これからも頑張ってください。
なにか参考になりそうなこがありましたら、
教えて下さい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


93 Re: 教えて下さい コメント数:  0件
  なひたふ 2001/03/20 (火) 19:04
私の知人でビデオキャプチャボードを自作している人がいます。
とりあえず、この回路はいろいろと規模も大きく、大変な回路です。
これはSRAMを使っています。
http://homepage2.nifty.com/plasma/elect/video.html

もうひとり、noda君という人がいて、その人が若松のFIFOを使っています。確か読み出しはRS-232Cだったと思います。

最低限必要になるのは同期分離で、これはLM1881というICを使います(\400)。ADコンバータは入手が困難ですが、RSコンポーネンツからTDA8703というのが1180円で発売されています。
FIFOには読み出し信号と書きこみ信号があります。キャプチャしたいときはAD変換後のデータをFIFOのデータ線につなぎ、書きこみクロックを与えます。読み出したいときは、FIFOに読み出しクロックを与えれば画面の左上の画素データから順番に読み出されます。これをDA変換機に入れます。また、垂直同期信号を利用して、FIFOの内部アドレスカウンタをリセットします。動作の詳細はICごとに違うので、メーカーのWebサイトからデータシートをダウンロードして検討します。
徹底的に安くするとなれば、画像出力を省き、パソコンでの読み出し専用にするとよいでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


92 Re: 教えて下さい コメント数:  0件
  なひたふ 2001/03/20 (火) 18:29
> そのuPD4228はどこで、いくらぐらいで売っているのですか?
秋葉原の若松通商というお店せす。http://www.wakamatsu.co.jp/で調べてみてください。

> あと、メモリICのことが良く分かるような文献などがあったら
> 教えて下さい。
これは難しいです。いろんな例を見ながら作って覚えるしかありません。まずは、トランジスタ技術誌のバックナンバー、もしくは、トランジスタ技術スペシャルを読んでみてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


91 Re: 教えて下さい コメント数:  0件
  なひたふ 2001/03/20 (火) 18:28
ビデオ回路は動けば大変面白いのですが、いろいろな要素が複合してできているので、回路を自分で設計する場合のはかなり大変です。その知識とは、「NHKテレビジョン技術教科書 上下」や「トランジスタ技術スペシャルNo52」、「トランジスタ技術2000年12月号」などを読めば書いてありますが、やはり、最初はそれらに載っている参考回路を真似して作ってみるのがよいかと思います。
それから、ビデオ関係の回路を自作した場合には、そのデバッグには必ずオシロスコープが必要になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


90 教えて下さい コメント数:  3件
  inoue   | td8h-inue@asahi-net.or.jp 2001/03/20 (火) 10:39
そのuPD4228はどこで、いくらぐらいで売っているのですか?
あと、メモリICのことが良く分かるような文献などがあったら
教えて下さい。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


89 Re: ありがとうございます コメント数:  0件
  なひたふ 2001/03/19 (月) 23:16
> ただ、私は初心者でして、FIFOとやらものがわかりません。
FIFOとは、First in first outの略で、ファイフォと読みます。
通常のメモリICはアドレス線とデータ線があり、好きなアドレスを自由に読み書きできます。ところが、FIFOにはデータ線しかありません。FIFOはメモリに記録した順番に出力から出てきます。イメージ的にはところてんを押し出すような感じです。

画像のようなデータは、常に順番にアクセスするので、FIFOのようなメモリICが適しているといえます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


88 ありがとうございます コメント数:  1件
  inoue   | td8h-inue@asahi-net.or.jp 2001/03/19 (月) 22:50
ありがとうございます。
ただ、私は初心者でして、FIFOとやらものがわかりません。
教えて頂ければ幸いに思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


87 Re^3: 突然ですが… コメント数:  0件
  なひたふ 2001/03/19 (月) 19:30
> uPD4228とかいうのだったら売ってましたよ。
たぶんそれです。フラットパッケージのやつです。
FIFOを使うと、メモリのアドレスを考えなくてもよいので、回路は簡単になりますね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


86 Re^2: 突然ですが… コメント数:  1件
  季衣  | chrysant@aa.alles.or.jp 2001/03/19 (月) 18:32
こんにちわ。

> 回路が簡単という点ではFIFOを使うのが一番です。最近では若松にFIFOが売っているそうなのですが、まだあるかどうかは定かではありません

uPD4228とかいうのだったら売ってましたよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


85 Re: 突然ですが… コメント数:  2件
  なひたふ 2001/03/19 (月) 02:12
安くですか。
まず、価格を決めるのは画像メモリです。NTSCの1画面を記録するためには、モノクロ256階調ですと、350kByte程度のメモリが必要になります。回路を簡単にするためにSRAMを使うと値段が跳ね上がりますし、安価なDRAMを使うと回路が複雑になります。まず、このトレードオフです。

回路が簡単という点ではFIFOを使うのが一番です。最近では若松にFIFOが売っているそうなのですが、まだあるかどうかは定かではありません。そのメモリを数個直列に並べてビデオキャプチャを作った人を知っています。

一番(回路が)簡単かつ安価な方法だと、
・同期分離など・・(1000円くらい)
・ADコンバータ(サンプル請求で安く上げる)
・CPLD(同期信号発生&メモリコントロール) (1900円くらい)
・SIMM(30ピンのジャンク品) (限りなく無料)
・DAコンバータ(700円くらい)
・NTSCエンコーダ(1000円)

という組み合わせでしょうか。これでも、なんだかんだ言って8000円くらいにはなりそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


84 突然ですが… コメント数:  3件
  inoue 2001/03/18 (日) 23:56
こんにちは。初めまして。
僕はマニアでも何でもないのですが(典型的な初心者)、最近何となく作りたいなあーと思ったものがあります。
何かといいますと、静止画を一時的に保存しておくもの(?)(ビデオキャプチャっていうんですか?)(モノクロでいいです。とにかく安く…)
です。
何かいいアイデアはありませんか?
(突然でしたすみません)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


83 Re^3: 探し物・・・ コメント数:  0件
  soh97   | soh97@lycos.ne.jp 2001/03/18 (日) 00:54
> > > 変換ケーブルで次のものを探しています・・・お店でも通販でも・・・
> > > ステレオジャック(3.5φ,3極)⇔RCA×2(できれば赤(音声)、黄(映像))
> ミニプラグにビデオを出力するのはあまり一般的ではないと思いますが、
> たぶん電気店、量販店のケーブルコーナーにあると思います。
それほど特殊でもないようです。>ミニプラグビデオ端子
液晶TVやポータブルDVDなんかのビデオ端子は3.5φミニプラグが多いように
思います。
少なくともシャープとカシオの液晶テレビの私が持っている物は、そうでした。

> これを使う機器を店員に説明すれば、適合した物を探してくれると思いま
> す。特殊ケーブルだとしても機器メーカのサプライ品としてあるはず。
と言う訳でそんなに特殊では無いと思います。
でも、片方は白色プラグだったような気がします。探せば赤もあるでしょうけど。
少し大き目の家電量販店のケーブルコーナーで探せば、液晶テレビ用として
売っているのではないでしょうか?
ただ、映像端子と音声端子の結線が合うかどうかですね。逆になると音声用端子から
ビデオ信号を入れなくてはならなくなります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


82 Re^2: 探し物・・・ コメント数:  1件
  ももせ  | hirofumi@momose.com 2001/03/16 (金) 12:00
 http://www.momose.com/
> > 変換ケーブルで次のものを探しています・・・お店でも通販でも・・・
> > ステレオジャック(3.5φ,3極)⇔RCA×2(できれば赤(音声)、黄(映像))
>
> 仕事で使うのなら、ミスミとかブラックボックスに頼めば、
> 何でも作って納品してもらえると思います。
>
> 趣味用ならやっぱ自分で作りましょう。
> あと、電線専門の店で作ってくれるところもありますね。

ミニプラグにビデオを出力するのはあまり一般的ではないと思いますが、
たぶん電気店、量販店のケーブルコーナーにあると思います。
これを使う機器を店員に説明すれば、適合した物を探してくれると思いま
す。特殊ケーブルだとしても機器メーカのサプライ品としてあるはず。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


81 Re: 探し物・・・ コメント数:  2件
  いと 2001/03/16 (金) 10:24
> 変換ケーブルで次のものを探しています・・・お店でも通販でも・・・
> ステレオジャック(3.5φ,3極)⇔RCA×2(できれば赤(音声)、黄(映像))

仕事で使うのなら、ミスミとかブラックボックスに頼めば、
何でも作って納品してもらえると思います。

趣味用ならやっぱ自分で作りましょう。
あと、電線専門の店で作ってくれるところもありますね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


80 Re^7: 秋月でEtherコントローラRTL8019AS発見 コメント数:  0件
  なひたふ 2001/03/14 (水) 21:09
>  一方なひたふさんにはNAXJPもがんばっていただきたいので難しい所です(^^;)
ありがとうございます。

>  両方組み合わさって、ネットワークの向こうのFPGAを、
> ・イーサ対応NAXJP
> ・UDP←→JTAG変換ボード(CPLD+8019)
>  でいじれたりすると素晴らしいです。
なるほど面白そうです。
書き換えだけならすぐにでもできそうですが、JTAGバウンダリスキャンを使ってイーサ対応のデバッグツールなんかができると、夢が広がりますね。

JTAGの使い方もわかったし、アルゴリズムもわかったし、あとはガリガリとコーディングするだけなのですが、どうしても電車の中で書いていると寝てしまって・・・(^^ なかなか進んでいません。暖かくなってきたからでしょうか、なぜか妙に眠いんです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


79 Re: eZ80 Webserver コメント数:  0件
  なひたふ 2001/03/14 (水) 21:03
> http://www.zilog.com/ez80/
> これなどどうでしょう。
eZ80ですか。
最初にトラ技に広告が登場したとき、「これはっっ!」と思って代理店に問い合わせたのですが、「いついつに、発売予定ということで・・」といわれてしまい、そのまますっかり忘れていました。こういうのをトラ技用語で「先物取引」っていうんでしょうか。(^^
もう手に入る時期なのですね。是非使ってみたいマイコンです。私はZ80で育ったので、一個単位で手に入ればいろいろなアプリケーションを試してみたいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


78 Re: ありがとうございました コメント数:  0件
  なひたふ 2001/03/14 (水) 21:00
なにはともあれ、動きましておめでとうございます。

>以前は、「元クロックをカウンタに加えてその出力をAND ORしてできた
>信号を新たなクロックにする」というものでしたが、元クロックと同期をとる
>様にしましたらうまく動作しました。(言葉での説明が難しいです)
この説明でたいへん良くわかります。
解析が大変なほど複雑なハザードが出ていたろうと思われます。

私も以前に、秋月で買ったモノクロのLCD(256×64ドット)のドライバ回路を作ろうとしたとき、カウンタ作りで面倒な思いをしました。74シリーズのロジックICやGALを使って作るときには、ビット数の大きいカウンタが作りにくいので、このようなカウンタの出力からクロックを作る回路にしました。

CPLDですと、手を動かさず内部回路を自由に変更できるので、本当にCPLD様様です。
では、Webなどでの公開を楽しみにしています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


77 eZ80 Webserver コメント数:  1件
  shirou   | a-ushiro@hdl.co.jp 2001/03/14 (水) 20:35
http://www.zilog.com/ez80/
これなどどうでしょう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


76 ありがとうございました コメント数:  1件
  おりおり 2001/03/13 (火) 23:54
みなさん、こんにちは おりおりです。
先日、私の質問にコメントいただきありがとうございました。
その後、目的の回路が完成しました。
やはり、指摘いただいたように、クロック周りの回路(定義?)を変更しましたら動作しました。
以前は、「元クロックをカウンタに加えてその出力をAND ORしてできた信号を新たなクロックにする」というものでしたが、元クロックと同期をとる様にしましたらうまく動作しました。(言葉での説明が難しいです)

今回思ったのですが、以前のようにロジックICを組み合わせて作っていたらデバックが大変で完成しなかったかもしれません。
CPLD様々といったところです。
ちなみに造った回路は、マイコンにつなぐディスプレイ回路です。出力はドットマトリクス液晶です。(趣味で作っています)
いずれホームページなどで公開します。

それでは。ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


75 Re^6: 秋月でEtherコントローラRTL8019AS発見 コメント数:  1件
  とよぞう 2001/03/13 (火) 17:26
> UDPですか・・?
> 私はネットワークの勉強不足なので良くわかりませんが、それを実装すれば10BASE-Tで簡単なやり取りができるのですね。

 一方なひたふさんにはNAXJPもがんばっていただきたいので難しい所です(^^;)
 両方組み合わさって、ネットワークの向こうのFPGAを、
・イーサ対応NAXJP
・UDP←→JTAG変換ボード(CPLD+8019)
 でいじれたりすると素晴らしいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


74 Re^8: 秋月でEtherコントローラRTL8019AS発見 コメント数:  0件
  とよぞう 2001/03/13 (火) 17:17
> でも...ARPはCPLDでどうやって実装しよう。
> アドレスの対応を前もって調べておくとか?(^^;

 もっと志を低くです。IPも物理アドレスも決め打ちです。
 タイマーで定期的にパケット(1フレーム以内)を送信するだけです。
 受信したらメモリに転送するだけです。

ところでFGALの作者もchanさんなのですね。昨日、LatticeからGAL開発環境落とすのが面倒になって探したら「FGAL‥おお、これはシンプルで良いっす」「おお、よく見たらchanさんの作ではないか!」と。誠に助かりました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


73 Re^8: 秋月でEtherコントローラRTL8019AS発見 コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/13 (火) 15:05
 http://www.momose.com/
> でも...ARPはCPLDでどうやって実装しよう。
> アドレスの対応を前もって調べておくとか?(^^;
ARPもCPLDでステートマシンを作ればイケそうな感じですが、
テーブルのためのメモリが必要になりますね。CPLDで
メモリを作るとマクロセルを大食いしますので問題です。
あと、ルーティングも難題ですなあ。直に送りつけ、
ルーティングしないっていう方法かな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


72 Re^7: 秋月でEtherコントローラRTL8019AS発見 コメント数:  2件
  chan   | chan@elm-chan.org 2001/03/13 (火) 13:18
汎用性を考えるとTCPは欲しいところですけど、ちょっとした
自作モノにはとりあえずUDP(ほとんどIPそのもの)で十分で
しょうね。VBからでもUDPならそのまま使えますし。

でも...ARPはCPLDでどうやって実装しよう。
アドレスの対応を前もって調べておくとか?(^^;
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


71 Re^6: 秋月でEtherコントローラRTL8019AS発見 コメント数:  3件
  ももせ  | hirofumi@momose.com 2001/03/13 (火) 02:38
 http://www.momose.com/
> UDPですか・・?
> 私はネットワークの勉強不足なので良くわかりませんが、それを実装すれば10BASE-Tで簡単なやり取りができるのですね。

UDPの場合はTCPと違って送りっぱなしなので、信頼性確保を考える必要があります。
(でも再送信処理なんかしなくても、かなり正確に送れます。)

> CPLDだけというと、必然的にデータ垂れ流しになってしまうので、アプリケーションは限られてきます。10BASE-Tというと、1MByte/secくらいですか。ということは、音声は余裕でOKですよね。でも秒60枚の画像は無理ですね。ちょっと残念。
> LAN専用オシロ・ロジアナとかもできれば理想的ですね。

ネットワークアナライザというやつですね。(トラジェネ+スペアナじゃないほうの)
簡単なやつなら、WindowsのネットワークモニタやUNIXのsnoop/tcpdumpなどのコマンドでデータは取れます。
一応こっちが本業なので (^^;....)
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


70 Re^3: 秋月でEtherコントローラRTL8019AS発見 コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/13 (火) 02:33
 http://www.momose.com/
> > もう1社にたようなものがあります。
> http://www.tdh.co.jp/rabbit/
> もう1社とは、こちらです。
> 米国のサイトをみると、rabbitlink $129 とあります。

ボードでTCP/IPを使えたらいいなあ、ということはよくあります。
IPスタックはワンチップ系マイコンには荷が重いので、実装するには
躊躇するし、RTOSなんかは高いのが難点です。この手のツールがあれば
小型アプライアンスを作る場合には結構イケるとおもいますよ。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


69 Re^7: ロジック回路のデバッグ..いいツールないですか? コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/13 (火) 02:30
 http://www.momose.com/
> eBayというのは全然知りませんでした。
> 安〜いですね。東●計測器ラ■ドの比じゃありませんね。
> いいオシロが欲しくなりました。

東●計測器ラ■ドは高いです。私は新品のフルークを10年前に
買ったきりです。アナログオシロはミリオン商事(?)で岩通の
7821を4万でゲットしたのを使っています。これは安かった。

> おおっ。おめでとうございます。
> 是非とも写真などを拝見したいです。
> 楽しみにしています。

とても見せられる代物じゃないですが、近日中にWebにのせます。
しかし、活躍してくれました。オシロであーでもない、こーでもない
と1週間やっていたのですが、1,2日で原因がつかめましたから。
今日はよく寝られそうです。 ^^; 内職なので夜しかできず、かなり
寝不足だったので。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


68 Re^2: 秋月でEtherコントローラRTL8019AS発見 コメント数:  1件
  shirou   | a-ushiro@hdl.co.jp 2001/03/13 (火) 00:06
> もう1社にたようなものがあります。
http://www.tdh.co.jp/rabbit/
もう1社とは、こちらです。
米国のサイトをみると、rabbitlink $129 とあります。

 
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


67 Re: 秋月でEtherコントローラRTL8019AS発見 コメント数:  2件
  shirou   | a-ushiro@hdl.co.jp 2001/03/12 (月) 23:55
> IPをしゃべれる機器を作りたいところです。
http://www.sed.co.jp/ubicom/tcpip/tcp_ether.htm
こんなのがあります。
もう1社にたようなものがあります。
(秋月さん以外に)
うち扱ったら買ってくれる人いるでしょうか?
個人的には興味があるのですけど。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


66 Re^6: ロジック回路のデバッグ..いいツールないですか? コメント数:  1件
  なひたふ 2001/03/12 (月) 23:37
> eBayですね。私も個人輸入したものが結構あります。
> (そのころはかなり支払いに苦労したことがありましたが)
> やはり測定器は中古が安くていいですね。実際、中古測定器はかなり
> 持ってます。ロジアナなんかは経年変化もなくまさにGoodです。

eBayというのは全然知りませんでした。
安〜いですね。東●計測器ラ■ドの比じゃありませんね。
いいオシロが欲しくなりました。

> 結局、CPLDとSRAM、読み出し用のPICマイコンで作りました。
> 材料費は全部で3K円程度です。効果のほどはまだ?です。
おおっ。おめでとうございます。
是非とも写真などを拝見したいです。
楽しみにしています。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


65 Re^5: 秋月でEtherコントローラRTL8019AS発見 コメント数:  6件
  なひたふ 2001/03/12 (月) 23:33
> >  プロトコルは、UDPに限れば単一パケット単位でのやりとりはCPLDで組めそうな気がします。
> >  プリンタポートと違って1000バイト超を一気にやりとりできる、と。
>
> データをつっこんで、レジスタをいじる程度で動くと思います。
> チップの初期設定のが面倒かもしれませんね。

UDPですか・・?
私はネットワークの勉強不足なので良くわかりませんが、それを実装すれば10BASE-Tで簡単なやり取りができるのですね。
マイコンは使いたくないので、そのときが来たらCPLDで行きます。そのときは是非パルストランスをお願いします。

CPLDだけというと、必然的にデータ垂れ流しになってしまうので、アプリケーションは限られてきます。10BASE-Tというと、1MByte/secくらいですか。ということは、音声は余裕でOKですよね。でも秒60枚の画像は無理ですね。ちょっと残念。
LAN専用オシロ・ロジアナとかもできれば理想的ですね。

ああ、トラ技読んでLANの勉強しないと。
# 今月のトラ技の「Information?」にはだまされました。セラミック真空管を信じてしまいました。ああ、くやしい。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


64 Re^4: 秋月でEtherコントローラRTL8019AS発見 コメント数:  7件
  ももせ  | hirofumi@momose.com 2001/03/12 (月) 18:26
 http://www.momose.com/
>  パルストランスはみついわさんと共同購入して250個手持ちが有ります。
>  必要でしたらお問い合わせをば。

その時が来ましたらよろしくお願いします。

>  プロトコルは、UDPに限れば単一パケット単位でのやりとりはCPLDで組めそうな気がします。
>  プリンタポートと違って1000バイト超を一気にやりとりできる、と。

データをつっこんで、レジスタをいじる程度で動くと思います。
チップの初期設定のが面倒かもしれませんね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


63 Re^5: ロジック回路のデバッグ..いいツールないですか? コメント数:  2件
  ももせ  | hirofumi@momose.com 2001/03/12 (月) 17:14
 http://www.momose.com/
> http://search.ebayjapan.co.jp/search/search.dll?MfcISAPICommand=GetResult&SortProperty=MetaEndSort&pb=&maxRecordsPerPage=100&ebaytag1=ebayavail&ebaytag1code=104&ht=1&query=LOGIC+ANALYZER

eBayですね。私も個人輸入したものが結構あります。
(そのころはかなり支払いに苦労したことがありましたが)
やはり測定器は中古が安くていいですね。実際、中古測定器はかなり
持ってます。ロジアナなんかは経年変化もなくまさにGoodです。

結局、CPLDとSRAM、読み出し用のPICマイコンで作りました。
材料費は全部で3K円程度です。効果のほどはまだ?です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


62 Re^4: ロジック回路のデバッグ..いいツールないですか? コメント数:  3件
  shirou   | a-ushiro@hdl.co.jp 2001/03/12 (月) 16:47
http://search.ebayjapan.co.jp/search/search.dll?MfcISAPICommand=GetResult&SortProperty=MetaEndSort&pb=&maxRecordsPerPage=100&ebaytag1=ebayavail&ebaytag1code=104&ht=1&query=LOGIC+ANALYZER
こんなのありました。
いろいろ検索すると面白いです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


61 探し物・・・ コメント数:  3件
  さと 2001/03/12 (月) 12:24
変換ケーブルで次のものを探しています・・・お店でも通販でも・・・

ステレオジャック(3.5φ,3極)⇔RCA×2(できれば赤(音声)、黄(映像))
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


60 Re^2: さがしてます。 コメント数:  0件
  なひたふ 2001/03/12 (月) 02:29
型番は違いますが、RSコンポーネンツにまだあるようです。

http://jp.rs-c.dk/servlet/dk.stibo.module.ShowModuleServlet?moduleId=7124#

4種類あるようなので、ひとつくらいは特性のあうものがあるといいのですが・・・
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


59 Re: さがしてます。 コメント数:  1件
  なひたふ 2001/03/12 (月) 02:19
> UJT(ユニジャクショントランジスタ)2SH23及び2SH21と同等の機能をもつ
少量でしたら、まだ秋葉原にないでしょうか。小沢電気商会とか、鈴商とか、・・・探せばありそうな気配ですよね。よくはわかりませんが、2SH21はかなりメジャーな品種なのですよね?

それから、PUTでUJTを作るというのはどうでしょうか。
http://www.onsemi.co.jp/products/catalog/discrete/Thyristors/PUT/2N6028.html
PUTならまだ手に入るかもしれません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


58 Re^3: 秋月でEtherコントローラRTL8019AS発見 コメント数:  8件
  とよぞう  | PXW07530@nifty.com 2001/03/12 (月) 01:15
> これはNE2000上位互換の石です。あと特殊パーツとして、パルストランスが必要ですね。

 パルストランスはみついわさんと共同購入して250個手持ちが有ります。
 必要でしたらお問い合わせをば。

 プロトコルは、UDPに限れば単一パケット単位でのやりとりはCPLDで組めそうな気がします。
 プリンタポートと違って1000バイト超を一気にやりとりできる、と。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


57 さがしてます。 コメント数:  2件
  回路屋 2001/03/11 (日) 22:15
UJT(ユニジャクショントランジスタ)2SH23及び2SH21と同等の機能をもつ
代用部品はありませんか、
使用目的 ソフトスロースターター回路 何れも製造中止になってしまったため
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


56 Re^2: 秋月でEtherコントローラRTL8019AS発見 コメント数:  9件
  ももせ  | hirofumi@momose.com 2001/03/11 (日) 12:33
 http://www.momose.com/
これはNE2000上位互換の石です。あと特殊パーツとして、パルストランスが必要ですね。
MACアドレスはシリアルEEPROMで供給するのですが、こんなのは適当にごまかせばいいですし。

> 私が作るものは大抵はプリンタポートでアクセスするので、そろそろポスト・プリンタポートを探そうと思います。自作機器にEtherが乗ると楽しそうですね。
> でも私はマイコンが苦手・・・。CPLDでコントロールできればいいのですが・・・。このRTL8019を使えば、単純な送受信ならXC95108くらいでも作れるかも。

シリアル、パラレルは先客が付いていたりするので...;;
最近、ソフマップで8シリアル増設カードを¥3Kで入手したのですが、空きスロットがなかった....ありゃ〜。
Etherの最大の難関はなんと言ってもプロトコルの実装です。TCP層まで実装済みで簡単に使えるものが
あると楽なのですが。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


55 Re: 秋月でEtherコントローラRTL8019AS発見 コメント数:  10件
  なひたふ 2001/03/11 (日) 03:24
> 秋月でEtherコントローラのRTL8019ASが売られているのを見つけました。
> @700円です。パルストランスとシリアルEEPROMの入手も考えると市販
> のカードをばらす方が手っ取り早いかもしれません。
RTL8019ASというのは、トラ技の1月号にでていた便利そうなEtherコントローラですね。こんなのがあるとは知りませんでした。さすが秋月、流行に敏感です。
最近忙しくて、トラ技を買っても読まない日々が続いていました。これではいけませんね。ちゃんと最新のトレンドを知っておかないと・・・

> IPをしゃべれる機器を作りたいところです。
私が作るものは大抵はプリンタポートでアクセスするので、そろそろポスト・プリンタポートを探そうと思います。自作機器にEtherが乗ると楽しそうですね。
でも私はマイコンが苦手・・・。CPLDでコントロールできればいいのですが・・・。このRTL8019を使えば、単純な送受信ならXC95108くらいでも作れるかも。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


54 秋月でEtherコントローラRTL8019AS発見 コメント数:  14件
  ももせ  | hirofumi@momose.com 2001/03/09 (金) 15:16
 http://www.momose.com/
秋月でEtherコントローラのRTL8019ASが売られているのを見つけました。
@700円です。パルストランスとシリアルEEPROMの入手も考えると市販
のカードをばらす方が手っ取り早いかもしれません。

IPをしゃべれる機器を作りたいところです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


53 半導体のデータシート コメント数:  0件
  なひたふ 2001/03/08 (木) 01:34
韓国語なのですが、こんなのを見つけました。
http://www.chipbook.co.kr/

特にEPROMなどのメモリー関係が充実しています。ちょっと訳ありなようで、すぐにpdfファイルが見れます。メーカーのHPからpdfが削除されてしまったような製品についても情報が見られるので便利かなとおもいます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


52 Re^8: 不可思議な現象 コメント数:  0件
  おりおり 2001/03/07 (水) 13:38
なひたふさん、こんにちは。

生成された信号を元に新たなるクロックを作るなど変なことをやっていました。

あと、圧縮(最適化?)させないオプションがあるのですね。ちょっと調べてみます。

苦労していますが、CPLDをいじっていると楽しくて仕方ありません。(変かなあ?(^^;)))

それでは
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


51 Re^7: 不可思議な現象 コメント数:  1件
  なひたふ 2001/03/07 (水) 00:56
こんばんは

> どうも、やはり多相クロックがダメみたいです。
ちなみに、クロックはどうやっておられますか?XILINXのCPLDは、フリップフロップのクロックの極性をマクロセル毎に決められるようになっています。このため特別に逆極性のクロック信号を作る必要はありません。2相クロックでしたら、次のブロック
if(clk'event and clk = '0') then 〜 end if;
内に遷移を書けば、そのレジスタは立下りクロックに引っかかりますよ。

> 以前、同等な回路をロジックICで組んだときは動いてくれたのですが、もしかすると「たまたま動いてくれていた」のかもしれませんね。

やはり気になるのは、論理合成の最適化によって回路の一部が圧縮されて消えてしまっているのではないかいうことです。消さないためにはオプションを設定するか、ピンから外部に出力させるかです。もちろん圧縮させなければ、(高速ではありますが)ロジックICで組んだのと同じ回路が構成できます。

でも一番いい方法は、いとさんもおっしゃるとおり、クロックを2倍にしてステートマシンで組むことだと思います。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


50 Re^6: 不可思議な現象 コメント数:  0件
  おりおり 2001/03/06 (火) 23:33
いとさん、こんにちは。コメントありがとうございます。

> HDLでの設計だと楽なのですが、一番簡単なのは、同期のステートマシンで構成する
> ことだと思います。出力状態の組み合わせを各ステートに割り振って、上記「ある条件」も当然そうします。

そうですね・・・・、ステートマシンで構成すればよいのか・・・。
ちょっと勉強してみます。

ありがとうございました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


49 Re^6: 不可思議な現象 コメント数:  2件
  おりおり 2001/03/06 (火) 23:28
なひたふさん、こんにちは。

> このような回路や多相クロックはバグの温床になりますので、できれば避けたほうがよいです。もちろんベストな方法は二倍の周波数のクロックを用いて完全な同期式で設計することですが、そうも言っていられない場合は、ちょっと非同期な回路が必要になってきます。

どうも、やはり多相クロックがダメみたいです。
今回と別なところでもわからない現象が起きまして、何度ソースを見ても原因不明なのです。ところが、クロックのところを組み直したら動いてくれました。
以前、同等な回路をロジックICで組んだときは動いてくれたのですが、もしかすると「たまたま動いてくれていた」のかもしれませんね。
もう少し勉強し直して組み直してみます。
ありがとうございました。勉強になりました。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


48 吉野電装 コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/06 (火) 18:39
 http://www.momose.com/
http://genpin.com/

これはすごいかも。
www.genpin.comについて->ページ最下部->プロフィール

いや全くすごい。我が輩も在庫部品は数千点以上蓄積しているが
そんなもんじゃない。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


47 Re^5: 不可思議な現象 コメント数:  3件
  なひたふ 2001/03/06 (火) 17:51
>ですが、やはり、ずれたタイミングでラッチした信号をORするのは好ましくないのでしょうか?

ついつい使いたくなる方法ですが、あまり好ましくないです。

たとえば、こういう回路を動かすときに「クロックとのANDをとる」という方法が結構使えたりします。ABEL表記では、
OUT_D = !(SIG_A & CLK) & SIG_B;
なんていう方法です。ある条件をSIG_Aとし、目的の信号をSIG_Bとします。SIG_AとSIG_Bはレジスタの出力信号です。SIG_Aが1になったになったとき、そのサイクルの後半では括弧の中が1になり、結局OUT_Dは強制的に0になります。つまり、半分だけ速く終わらせたいサイクルの時のみSIG_Aを1にしてやればよいです。

位相の遅れたクロックはどうやって作り出していますか。通常はクロックの替わりに!CLKを使えばよいです。

このような回路や多相クロックはバグの温床になりますので、できれば避けたほうがよいです。もちろんベストな方法は二倍の周波数のクロックを用いて完全な同期式で設計することですが、そうも言っていられない場合は、ちょっと非同期な回路が必要になってきます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


46 Re^3: 不可思議な現象 コメント数:  0件
  なひたふ 2001/03/06 (火) 17:35
> なお7MHz程度で動作させております。

7MHzという動作速度が遅いか速いかは下の表から判断してください。これは私が独自に調べたXC95108-15PC84Cの動作速度評価です。
CPLDの内部回路は基本的にゲートの遅延を何段か重ねたあとフリップフロップいれるものです。回路が複雑になる場合、もしくは、ピンが出力されるため論理圧縮できない場合は、中間項を圧縮せずに論理合成されるため、ゲート遅延が重なります。まず普通の設計では3段以内でつくることができるので、約22MHzで動くことになります。回路で半クロック遅れたクロックを使用する場合には、そのロジックの部分だけみればクロック速度が2倍になったようなものです。ですから、10MHz程度での動作は見込まれます。よって、7MHzというのは大丈夫そうです。

0段 100.0MHz
1段 62.5MHz
2段 32.2MHz
3段 21.7MHz
4段 16.3MHz
5段 13.1MHz
6段 10.9MHz
7段 9.4MHz
8段 8.2MHz
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


45 Re^5: 不可思議な現象 コメント数:  1件
  いと 2001/03/06 (火) 16:11
こんちは。#今日は朝から休憩ばっかり・・

> 「ある条件」のときのみ「ある制御信号」を「半クロック早めて」終わらせなくてはならない(「遅くする」なら楽なのですが)・・・という物でした。そこでその信号のみ単純に半クロック早めにラッチしていました・・・。


HDLでの設計だと楽なのですが、一番簡単なのは、同期のステートマシンで構成する
ことだと思います。出力状態の組み合わせを各ステートに割り振って、上記「ある条件」も当然そうします。

ORなどの信号元が同期してようがしまいが、同期で遷移した先のステート
で出力を決めていれば、結果は同期信号です。
遷移する条件の評価タイミングがクロック同期になるので、ラッチしているのと
結局は同じことですけれど。


> ずれたタイミングでラッチした信号をORするのは好ましくないのでしょうか?

私は、同期回路とステートマシンで設計するので、こういったことは意識して
ないなぁ。TTLやC-MOSゲート並べて製作してた頃は、カウンタ出力が同期してる
のだのやった記憶もありますが。遅延も駆使してね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


44 Re^4: 不可思議な現象 コメント数:  6件
  おりおり 2001/03/06 (火) 15:09
いとさん、こんにちは。おりおりです。
コメントありがとうございます。

> 同期してない信号なら、そのずれてる部分でとうぜん値が変化することが
> 起こります。それが、必要とするタイミングか否かというところですね。

私の説明を聞いて、きっと「どうしてそんな変なことするのかなあ?」と思われたと思います。(^^;)
結果的に必要な信号は、その方法(ずれたラッチで取り出す方法)で求められるのですが、やはり、ずれたタイミングでラッチした信号をORするのは好ましくないのでしょうか?
とりあえずは、別の方法で目的の信号を生成する方法を考えてみます。

ちなみに私の作っている回路は、ROMに書き込まれた8ビットの制御信号を順次取り出しラッチし、一部加工して最終的な制御信号を生成するという物です。
「ある条件」のときのみ「ある制御信号」を「半クロック早めて」終わらせなくてはならない(「遅くする」なら楽なのですが)・・・という物でした。そこでその信号のみ単純に半クロック早めにラッチしていました・・・。
「それなら、ラッチしてからマスクするなりなんとかしろ!」と言われそうですね。はい、そうしてみます。(^^;;)

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


43 Re^3: 不可思議な現象 コメント数:  7件
  いと 2001/03/06 (火) 10:19
こんにちは。横から失礼です。


同期してない信号なら、そのずれてる部分でとうぜん値が変化することが
起こります。それが、必要とするタイミングか否かというところですね。

ORする前に同期していればいいのですから、クロックを2倍とか3倍にして、
OR前に同期させてやれば目的のタイミングに欲しいOR結果が得られるかも
しれません。もしくは、欲しいOR結果が出ている瞬間にその結果をラッチするとか。

7MHzと遅いので大丈夫かと思いますが、非同期入力をラッチするときに
セットアップやホールドタイムが少ないと、メタ・ステーブルという
不可解な現象がおきることがあります。
(もちろん、防ぐ方法はあるし理論的に証明できる現象ですが・・)

そんなときには、ラッチあたりの記述を変えたり、少し変更をしただけ
で動く場合と動かない場合が起こったりします。


【新規投稿】 【この投稿にリプライ】 【投稿者削除】


42 Re^2: 不可思議な現象 コメント数:  9件
  おりおり 2001/03/06 (火) 01:39
なひたふさん、早速のコメントありがとうございます。

> まず、ucfファイルは大丈夫でしょうか。ピンの固定が第一です。

ピンは固定で指定してあります。念のためFitterReportで確認しました。

> 普通に作っていると、内部信号は圧縮されて見えなくなってしまうものがあり
<中略失礼>
同期な動作をする回路が内部に入っている可能性が大です。

これが怪しそうです。実は、その問題の出力は、ある内部信号Aと内部信号BをORして出力しているのですが、このAとB、それぞれ別のタイミング(半クロック遅れ)でラッチされたものなのです。
このような回路(別タイミングでラッチされた信号をORする)はダメなのでしょうか。
ちなみに同じタイミングでラッチしてみたところ、正しい出力がでてきました。(目的とする出力ではありませんが・・・・)
もしかすると、CPLD云々以前にロジック回路としてダメなのかな?
いいわけですが、電子回路とはまったく関係ない仕事をしていまして、全くの趣味でやっております。ご指導よろしくお願いいたします。

なお7MHz程度で動作させております。

それでは。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


41 Re^3: はじめまして コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/06 (火) 00:53
 http://www.momose.com/
> スイッチング電源等で、一時側と二次側に接続されているものですが、
> もし無かったらどうなるものでしょうか?
> 質問ばかりで大変恐縮です。

おそらく抵抗とコンデンサが直列になったスナバ回路がスイッチング電源の一次:二次間にあるのですね。
これは通常は抵抗なしのコンデンサのみでコモンモードノイズフィルタを形成している部分ではないか
と思います。でも抵抗は何なのだろう。低抵抗でしょうか。感電防止?抵抗つけちゃフィルタ効果ないもんな。
何なのだろう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


40 Re: 不可思議な現象 コメント数:  10件
  なひたふ 2001/03/06 (火) 00:49
> はじめまして。CPLD勉強中の「おりおり」と申します。
はじめまして

>そこでデバックのために、ある内部信号を未使用のI/Oピンから出力をしました。
>すると、その内部信号はもとより、本来の出力もちゃんと出てるではありませんか。

まず、ucfファイルは大丈夫でしょうか。ピンの固定が第一です。内部信号をつけるかつけないかで入出力ピンの場所が変わっていないでしょうか。
普通に作っていると、内部信号は圧縮されて見えなくなってしまうものがあります。ですが、その内部信号をピンとして出力すると圧縮されません。これはkeep属性をつけても同じです。内部信号が圧縮されなければ動く、というのは非同期な動作をする回路が内部に入っている可能性が大です。
つぎに、全体的な動作速度は大丈夫でしょうか。WebPACKではデフォルトで一番高速なデバイスを使用するように設定されています。実際に容易に入手できるデバイスではその半分の動作速度でしか動作できません。内部信号をつけることで、回路の論理圧縮が変わってくるので、そのへんが原因になっていることも考えられます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


39 Re^2: はじめまして コメント数:  1件
  こう  | knksm@oitaweb.ne.jp 2001/03/06 (火) 00:21
早速ご返事ありがとうございます。
> 状況がよくわからないので、一般論ですが、
説明不足で申し訳ございません(^^ゞ
> また、回路のある部分が電気的にどこにも接続されていないという状態は避けなければなりませんので、大きな値の抵抗を電源に並列に接続して、プルアップ、プルダウンとして使います。つまり、電源などの安定な電位に弱く結合させるために用いられます。
スイッチング電源等で、一時側と二次側に接続されているものですが、
もし無かったらどうなるものでしょうか?
質問ばかりで大変恐縮です。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


38 不可思議な現象 コメント数:  11件
  おりおり 2001/03/06 (火) 00:06
はじめまして。CPLD勉強中の「おりおり」と申します。
ザイリンクスのXC95108をWebPackにてプログラムしております。
さて、設計した回路を書き込んだのですが、思った通りの動作をしてくれません。そこでデバックのために、ある内部信号を未使用のI/Oピンから出力をしました。すると、その内部信号はもとより、本来の出力もちゃんと出てるではありませんか。ところが、その内部信号の出力をやめる(コメント化する)と、やはり思った通りの出力が出てくれません。
こういった場合にはどんなミスが考えられるのでしょうか?
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


37 Re: はじめまして コメント数:  2件
  なひたふ 2001/03/05 (月) 18:14
> はじめまして。こうと申します。
はじめまして

> 充電部と非充電部に抵抗とコンデンサーがつながっていますが、どのような事をしているものなのでしょうか?
状況がよくわからないので、一般論ですが、
どんな回路であれ、コンデンサは電力の入り口には必ず配置されます。そうすることで、回路の安定動作が図られるのです。
抵抗は、その値やつなぎ方にもよって、いくつかの用途があります。
比較的低い抵抗であれば回路に直列に挿入して、電流制限用として使われます。たとえば、コンデンサの電極をショートさせるとコンデンサやスイッチは痛みます。コンデンサを放電させるときには低い抵抗を使って電流を制限し、ゆるく放電させます。
また、回路のある部分が電気的にどこにも接続されていないという状態は避けなければなりませんので、大きな値の抵抗を電源に並列に接続して、プルアップ、プルダウンとして使います。つまり、電源などの安定な電位に弱く結合させるために用いられます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


36 フリーなツールでCPLDの書き込みに成功 コメント数:  0件
  なひたふ 2001/03/05 (月) 17:29
http://member.nifty.ne.jp/nahitafu/naxjp.html
やっと、95108の書き込みと消去ができるようになりました。でも、純正ツールより遅くなってしまいました。悲しい

その大きな原因は2つあります。
まず、Win32アプリからI/Oポートをアクセスするのにかかる時間が長いことです。
それから、マイクロ秒単位での正確な時間待ちの方法がわからないので、I/Oポートのアクセス時間が1マイクロ秒であると決めて、ループ中に無意味なI/Oアクセスすることで指定時間を待っていることです。
実際にはI/Oアクセスにはもっと長い時間かかるようなので、待ち時間が余計に長くなってしまいます。ちゃんとしたデバイスドライバを作っていない(I/Oポートを直叩きなので、OSにトラップされてしまっているのでしょう)ので仕方ないのですが・・

汎用性を持たせるような改良をいくつか行うので、公開までにあと2〜3日はかかります。
Linuxに移植できる日が楽しみです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


35 はじめまして コメント数:  3件
  こう  | knksm@oitaweb.ne.jp 2001/03/04 (日) 23:05
はじめまして。こうと申します。
私は家電の修理をやっている者ですが、配線図とか見ていると、疑問に思うことが多々あります。
その内の一つ。
充電部と非充電部に抵抗とコンデンサーがつながっていますが、どのような事をしているものなのでしょうか?
初めてなのに変な質問で申し訳無いのですが、よろしくお願いします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


34 Re^6: ロジック回路のデバッグ..いいツールないですか? コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/02 (金) 19:41
 http://www.momose.com/
> たびたびこの話題は出るので、やはり皆さんCPLDを利用した手軽なロジアナやオシロを必要としているようですね。要はSDRAMかSRAMか何かに時系列で大量のデータを保存してPCで読み出せるような汎用の回路が一つあればよさそうです。簡単につくれそうです。そのままでもロジアナとして使えるし、ADコンバータをつなげばオシロになりますし。
> 32KB程度の容量でよければ、XC95108+適当なSRAMで簡単にできそうですよね。CPLDの中はアドレスカウンタ、トリガー、タイマーなどでよさそうですし。
>
> 面白そうなので作ってみたくなりました。今てがけていることが一段落したら、SDRAMを使って汎用のものが作れるかどうかを検討してみます。

会社休んで秋葉行って来ました。
買ってきたのはCPLD(XC9572)と64KのSRAM、PICマイコンです。
ああああ、MAX232C買ってくるの忘れた。昔作ったやつから外すしかないなあ。
将来的にはSDRAMを使って超ロングメモリのやつを作りたいですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


33 Re^5: ロジック回路のデバッグ..いいツールないですか? コメント数:  1件
  なひたふ 2001/03/02 (金) 11:16
> とにかくデータをとってしまって、あとから観察方法をいろいろ変えられると
> いうのがよいかも知れません。
> (そうするとPCに吸い上げですか)
そうですよね。私も作るとしたらその方法で行きます。
たびたびこの話題は出るので、やはり皆さんCPLDを利用した手軽なロジアナやオシロを必要としているようですね。要はSDRAMかSRAMか何かに時系列で大量のデータを保存してPCで読み出せるような汎用の回路が一つあればよさそうです。簡単につくれそうです。そのままでもロジアナとして使えるし、ADコンバータをつなげばオシロになりますし。
32KB程度の容量でよければ、XC95108+適当なSRAMで簡単にできそうですよね。CPLDの中はアドレスカウンタ、トリガー、タイマーなどでよさそうですし。

面白そうなので作ってみたくなりました。今てがけていることが一段落したら、SDRAMを使って汎用のものが作れるかどうかを検討してみます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


32 Re^4: ロジック回路のデバッグ..いいツールないですか? コメント数:  2件
  shirou   | a-ushiro@hdl.co.jp 2001/03/02 (金) 09:02
> ロジアナかオシロかってのは結局チャネル数の問題だと思います。
そうなんでしょうね。
多チャンネルで操作性をあげるのがなかか難しいのでしょうね。
とにかくデータをとってしまって、あとから観察方法をいろいろ変えられると
いうのがよいかも知れません。
(そうするとPCに吸い上げですか)
取り方を決めてとって、肝心のデータが無かったというのが困ります。
レクロイのオシロで、波形が無くなったときにトリガーをかけるなどができます。
(最近は他社でもできるようになったみたい)
しかし、滅多に使わない機能は、マニュアルをみなければ使えません。
CPLDかFPGAでトリガー条件を検出してオシロ(ロジアナ)の外部トリガーに
つなぐというのがよいなあとおもっています。
レクロイだとトリガーがかかると自動プリントしてまた次のトリガーをまつ
などとできますから、電源入れっぱなしで翌朝みてみるなどということもできます。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


31 Re^3: インクジェットプリンタで基板ができるようになるかも!? コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/03/01 (木) 16:53
 http://www.momose.com/
> >これぞ本当のプリント基板ですね。感動的です。
> なるほど!。
> ぼくは、失敗した基板のうえから、エプソンのインクジェットジャンパプリンタ
> (仮称)で修正してくれたらなどと思ってしまいました。

使ったこと無いですが、コンダクティブペンというものがあります。
http://www.kyohritsu.co.jp/CATALOG/TOOLS/cw2200.html
千石にもあったような気がします。スペックでは相当抵抗率が低いようです。
でも問題は半田が乗るかどうかですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


30 Re^3: ロジック回路のデバッグ..いいツールないですか? コメント数:  3件
  ももせ  | hirofumi@momose.com 2001/03/01 (木) 13:15
 http://www.momose.com/
ロジアナかオシロかってのは結局チャネル数の問題だと思います。
チャネル数が足りていれば、オシロのほうがはるかにいいですからね。
でもロジアナとオシロでは垂直系のめんどくささが全然違いますから
それが最大の課題ですなあ。

ベースユニットとロジアナモジュール、オシロモジュールっていう構成
はそう考えると合理的ですね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


29 Re^2: ロジック回路のデバッグ..いいツールないですか? コメント数:  4件
  shirou   | a-ushiro@hdl.co.jp 2001/03/01 (木) 09:04
ロジアナより、デジタルオシロ4chくらいが便利と思っています。
しかし、トリガー条件を決めるのにCPLDかFPGAでやれたら便利と思いますね。
アジレント(HP)の2CHデジタルと16CHロジアナが合体したものもつかっていますが。
これはかなり使いやすいです。
操作が早いし、トリガーしてからの操作も楽です。
ベリーグットです。
もうひとつ、アジレントのLOGIC VARTという98000円のポケットロジアナというのが
http://www.tm.agilent.com/classes/MasterServlet?view=productdatasheet&pro-ItemID=1000002632&language=jpn&locale=JP&title=1
便利です。とくにコタツの上には最適。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


28 Re^2: インクジェットプリンタで基板ができるようになるかも!? コメント数:  1件
  shirou   | a-ushiro@hdl.co.jp 2001/03/01 (木) 08:56

>これぞ本当のプリント基板ですね。感動的です。
なるほど!。
ぼくは、失敗した基板のうえから、エプソンのインクジェットジャンパプリンタ
(仮称)で修正してくれたらなどと思ってしまいました。


> 来年あたりから、印刷回路で光る年賀状なんていうこともできるようになるのでしょうか。楽しみです。
そこまでは思いつきませんでした。
夢が広がりますね。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


27 Re^3: ロジック回路のデバッグ..いいツールないですか? コメント数:  4件
  ももせ  | hirofumi@momose.com 2001/03/01 (木) 00:09
 http://www.momose.com/
#難点は今すぐ必要な点^^;汗
大した速度は必要ないのですが、割とロングメモリ(最低でも10K)が欲しいところです。
とりあえずの物をこしらえて凌いで、長期的にはSDRAMを使ってDIMMのある限りキャプチャできる
ようなものを作りたいところです。SDRAMなら100MHz程度のクロックで計測できるでしょうね。
しかも128Mバイトメモリなんて市販品でもそうはありません。インタフェースはUSB..100BaseT..
いったいいつできるんだろう。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


26 Re^2: ロジック回路のデバッグ..いいツールないですか? コメント数:  5件
  なひたふ 2001/02/28 (水) 19:41
> とりあえず、自分で作ってしまおうかと思います。
私もロジアナほしいです。
是非自作に挑戦してみてください。結果を楽しみに待っています。

> おすすめのSRAMとかって何かありますでしょうか?
> PCのSDRAMがいいかな。
SRAMって容量が少ないですよね。長時間の計測ができない気がします。でも、シリアル接続ですと、大量のデータを流すには不向きですからSRAMの容量くらいがいいかもしれません。
SRAMは安いと32kByteくらいでしょうか。メガビットクラスですとやはり高くなってしまいます。
やはり私としてはPCのSDRAMを使ってみたいです。とても安いので16ビット分だけ使っても十分採算がとれるのではないかと思います。あとは、DIMMのはんだ付けですね。

「CPLDと汎用SDRAMモジュールを使った大容量ロジアナ」なんてタイトルをつけるとめちゃくちゃ格好いいですよね。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


25 Re: インクジェットプリンタで基板ができるようになるかも!? コメント数:  2件
  なひたふ 2001/02/28 (水) 19:35
> 日経産業新聞にでていました。
> http://ss.nikkei.co.jp/ss/
> ウエブにはちょとしかかいて無いです。

読みました。
今朝の一面のトップに載っていますね。
トランジスタが印刷で作れてしまうとはすごい技術です。これぞ本当のプリント基板ですね。感動的です。

来年あたりから、印刷回路で光る年賀状なんていうこともできるようになるのでしょうか。楽しみです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


24 Re: ロジック回路のデバッグ..いいツールないですか? コメント数:  11件
  ももせ  | hirofumi@momose.com 2001/02/28 (水) 13:11
 http://www.momose.com/
ロジアナ、結構しますね。
とりあえず、自分で作ってしまおうかと思います。
CPLDとSRAMでキャプチャ、PICマイコンでPCへシリアル転送
くらいの内容でやりたい内容は出来そうです。トリガ条件など
はCPLDをその場で書き換えれば応用も利きそうです。
しかも過電圧などで壊してもCPLDで破壊が止まるのでいいかも。

おすすめのSRAMとかって何かありますでしょうか?
PCのSDRAMがいいかな。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


23 インクジェットプリンタで基板ができるようになるかも!? コメント数:  3件
  shirou   | a-ushiro@hdl.co.jp 2001/02/28 (水) 09:07
日経産業新聞にでていました。
http://ss.nikkei.co.jp/ss/
ウエブにはちょとしかかいて無いです。
インクジェットプリンタで、導電性ポリマーを印刷してTFTをつくる記事です。
エプソンは多層基板もつくれるといっています。
また、250工程を要する複雑なチップだと製造に1ヶ月半かかるところが1時間半で
すむようになるとも。
40m必要だった製造ラインが1.2m四方でOKとか。
すごい!さすがエプソンとエールをおくるべきでしょう。
#前に導電ポリマーと基板の記事があったので、
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


22 Re^2: パターン幅と最大電流 コメント数:  0件
  JUN猫 2001/02/27 (火) 22:16
思ったより流せるなあとか思ったのじゃが、いちおう
ももせ氏あたりの比率が良さそうじゃな。安全のため。
どうもサンクスであった。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


21 Re^3: 電子スライダックについて コメント数:  0件
  回路屋 2001/02/27 (火) 18:25
> > > AC220Vをスライダックでなく回路的に可変できる回路は無いのでしょうか、
> > > 現段階ではDCを可変できる回路は有るのですが小型で基板上で可変できる
> > > 回路が有ったら教えてください。
> 正弦波のまま振幅を調整しようとすると大変そうですね。
> 簡単に考え付く原理なら、100kHz程度のPWMで正弦波を切って、それをLCフィルタで再び正弦波にもどす回路でしょうか。要はスイッチング電源をそのままつくるようなことになってしまうと思います。
>
> それから、前回のIGBTが燃える件ですが、トランスの二次側につないだ負荷から回生などしていないでしょうか。また、トランスの一次側につないだダイオードで高電圧を吸収させているかとは思いますが、ダイオードのもつL成分ですら高電圧を発生させることがあるそうです、と強電に詳しい知人が言っておりました。
>>>IGBTですが素子のコレクタ・エミッター間にコンデンサー0.1μを接続してやる
ことで 余分なノイズをカットし現時点フルブリッジのインバータ回路は動作してます。ただこれだけで対策が取れたとは思っていないのですが、
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


20 Re: パターン幅と最大電流 コメント数:  0件
  ももせ  | hirofumi@momose.com 2001/02/27 (火) 10:17
 http://www.momose.com/
我が輩は通常の基板(銅箔35um)では1A/1mm幅にしてます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


19 Re: パターン幅と最大電流 コメント数:  1件
  なひたふ 2001/02/27 (火) 01:11
トラ技の95年4月号の特集に載ってました。
破壊電流というらしいです。銅箔厚0.035mmのばやいでは、
幅      電流
0.25mm  5A
0.50mm  7A
1.00mm  16A
で焼ききれるそうです。
また、温度上昇に関する許容電流というのもありまして、
幅   10℃ 20℃ 45℃
0.1mm 0.24A 0.7A  0.9A
0.2mm 0.8A 1.2A  1.7A
0.5mm 1.4A 2.0A  3.0A
1.0mm 2.2A 3.0A  4.2A
だそうです。
つまり、0.1mm幅のパターンに0.24A流すと、10℃上昇するそうです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


18 パターン幅と最大電流 コメント数:  3件
  JUN猫 2001/02/26 (月) 22:20
誰か、プリント基板のパターン太さと流せる電流量を
実験した人いないかのう?
パターンに1Aっくらい流せるといいなーとか思ったのじゃが
細いと焼ききれそうで怖いっていう。
あまりにぶっといと、スペースとってしょうがないからのう。
良さそうな太さっちゅうのが欲しいわけじゃ。
あ、ちなみにこの前のLCD接続問題は自力で解決したにゃ。
アドバイスくれた諸氏に感謝。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


17 Re^2: 電子スライダックについて コメント数:  1件
  なひたふ 2001/02/26 (月) 16:16
> > AC220Vをスライダックでなく回路的に可変できる回路は無いのでしょうか、
> > 現段階ではDCを可変できる回路は有るのですが小型で基板上で可変できる
> > 回路が有ったら教えてください。
正弦波のまま振幅を調整しようとすると大変そうですね。
簡単に考え付く原理なら、100kHz程度のPWMで正弦波を切って、それをLCフィルタで再び正弦波にもどす回路でしょうか。要はスイッチング電源をそのままつくるようなことになってしまうと思います。

それから、前回のIGBTが燃える件ですが、トランスの二次側につないだ負荷から回生などしていないでしょうか。また、トランスの一次側につないだダイオードで高電圧を吸収させているかとは思いますが、ダイオードのもつL成分ですら高電圧を発生させることがあるそうです、と強電に詳しい知人が言っておりました。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


16 ロジック回路のデバッグ..いいツールないですか? コメント数:  12件
  ももせ  | hirofumi@momose.com 2001/02/26 (月) 10:40
 http://www.momose.com/
不特定に発生する問題があるロジック回路のデバッグをしています
が、ロジアナがほしくなってきました。本物のロジアナを買うと流
石に高い&持て余すので、何かいいツールをご存じ無いでしょうか。

データをPCに取り込めるものがあるとGoodです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


15 Re: 電子スライダックについて コメント数:  2件
  ももせ  | hirofumi@momose.com 2001/02/26 (月) 10:36
 http://www.momose.com/
> AC220Vをスライダックでなく回路的に可変できる回路は無いのでしょうか、
> 現段階ではDCを可変できる回路は有るのですが小型で基板上で可変できる
> 回路が有ったら教えてください。

トライアックを使ったいわゆる「調光回路」なら簡単に出来ます。
部品も10点程度で、発熱も少ないです。
但し、これは位相制御の一種なのでスライダックのように正弦波
そのままの形で電圧調整はできません。正弦波を維持するとなると
かなりの回路規模になります。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


14 電子スライダックについて コメント数:  3件
  回路屋 2001/02/25 (日) 20:30
AC220Vをスライダックでなく回路的に可変できる回路は無いのでしょうか、
現段階ではDCを可変できる回路は有るのですが小型で基板上で可変できる
回路が有ったら教えてください。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


13 Re^5: フリーなPLDの書きこみツール コメント数:  0件
  soh97   | soh97@lycos.ne.jp 2001/02/24 (土) 00:16
> はじめまして
こちらこそ、はじめまして。

> ひょっとしたら、誰にも厳密な区別はわからないかもしれません。
一応CPLDと名乗る物は、配線遅延が計算できて、最高動作速度の
見積もりが出来るもの、て感じかと。
でも、良く分からんです。

> 自分で作った基板でマイコンを動かすのは大変ですよね。
いやぁ、流石にマイコンは専門の人にお任せです。
仕様書もろくに書いてないのに、動くものが出来てくる辺り…

> マイコンが動いて、FPGA/CPLDの中に自分で作ったレジスタの読み書き
うーーん、なにせAPEXが3種類も載ってるんです。で、まだ書けるレベルの
中身の回路が無いです。クロックは80Mhz近いですし…

で、
すべてVHDLで開発してますが、何故かALTERAのモジュールでは、正論理の
リセットが有ったり…

> なるほど。ALTERA系のも考えないといけなさそうですね。
EPC2に変なデータを書いてしまったことが有り、その時に同じチェーン上の
APEXに書けなくなりました。
どうもEPC2でのコンフィグ内容が、JTAGを拒否する設定になっており、
EPC2に正常なデータを書き直したら、書けました。

こんなこともあるので、相当苦労されると思いますが、頑張ってください。

では。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


12 Re^5: フリーなPLDの書きこみツール コメント数:  0件
  soh97   | soh97@lycos.ne.jp 2001/02/23 (金) 23:56
> こんにちは。最近よく見に来てます。

> SRAMタイプのALTERAですが、シリアルROM無くても動作できますよ。
あと、汎用のROMとマイコンを使って書き込む方法も、アルテラの
AN116.pdfには紹介してあります、でも、やったこと無いです。
シリアルでもパラレルでも、汎用ロムとCPUを使っても出来るようです。

ByteBlasterMVは、Xilinxの書き込みツールでも対応しているのが
有ったりしますね。
互換品を作ろうかと思いつつ、暇が無いので作れてません。
手抜きの為に、SN74LVとかの5Vトレラント品を使って、保護抵抗を
省こうかと。
で、Tiのサイトから、サンプル請求したのですが来ません。会社宛に
送るようにしたので、跳ねられてることはないと思うのですが。

検索したら8千円程度で互換品が結構売られているので、それを
買ってもOKかなと思ってます。
http://www.microdesign.co.jp/product.html
http://www.apnet.co.jp/hghome/product/cpld/dlpg.html
とかです。
DLPGは結構良いのですが、Dsub25Pinのオスメスが違うのが、
ちょっとだけ難ですね。SOPパッケージなので、サンプルで
請求したSN74LV244と張り替えてやれば、出力電流が稼げます…
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


11 Re^7: フリーなPLDの書きこみツール コメント数:  0件
  なひたふ 2001/02/23 (金) 17:18
> ALTERAですが、ちょっと見ましたところ、シリアルタイプは
> 内部回路は公開されていませんでした。モノは箱になってい
> てやはり変換回路が入ってます。
XILINXの場合でも、中に小さなFPGAが入っていて、内部は不明でした。

> 疑問に思ったのは、JTAGでバウンダリスキャンするなら、
> ベクタファイルなりを用意することになると思うのですが、
> これは別途必要になりますね。サードパーティー品は個人
> レベルで買えるような価格でないので、結局のところ
> デバイスメーカの開発ソフトの機能を使って開発したほう
要は、私が完全フリーのサードパーティー品を作るというのが狙いです。
与えられたベクタファイルを元に、JTAGを操るだけなら簡単です。わざわざ気合を入れて作る必要はありません。本プロジェクトの中枢は、書きこみ、消去、ベリファイ、読み出し、バウンダリスキャン・・・その他もろもろのベクタファイルを作り出すことにあるのです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


10 Re^6: フリーなPLDの書きこみツール コメント数:  1件
  いと 2001/02/23 (金) 16:26
> やりたいことは、特殊なハードウェアなしに、パラレルポートなどの汎用ポートに直結して書きこむことなのです。

そのようですね。しっかり読んでなくてすいません。

ALTERAですが、ちょっと見ましたところ、シリアルタイプは
内部回路は公開されていませんでした。モノは箱になってい
てやはり変換回路が入ってます。
パラレルポート接続のケーブルはLS244が入ってるだけでして、
回路は公開されています。私も自作したことあります。
ただしライターソフトが必要で、ALTERA専用ですが無料です。

このプロジェクト、ユーザが意識しなくてもソフトウェア上
からファームを動的に変更できるのは魅力的ですね。
私はALTERA派なので、その必要があればシリアルROMを
外部から書き換え可能にすることを考えますけど・・

疑問に思ったのは、JTAGでバウンダリスキャンするなら、
ベクタファイルなりを用意することになると思うのですが、
これは別途必要になりますね。サードパーティー品は個人
レベルで買えるような価格でないので、結局のところ
デバイスメーカの開発ソフトの機能を使って開発したほう
が簡単になると思います。

ちょっと否定的になってすいません。がんばってください。

【新規投稿】 【この投稿にリプライ】 【投稿者削除】


9 Re^5: フリーなPLDの書きこみツール コメント数:  2件
  なひたふ 2001/02/23 (金) 13:03
> ALTERAだとシリアルポート経由のビットブラスターを使えば、DOSから
> COPYコマンドでISPできたと記憶していますが・・
> これではダメなんでしょうか?
ALTERAのことはよくわからないのですが、シリアル接続ということですと、ある程度面倒な回路を作らなければならないのですよね?もしくは、ALTERAから回路の内蔵のケーブルを買わなければいけないのですよね。
やりたいことは、特殊なハードウェアなしに、パラレルポートなどの汎用ポートに直結して書きこむことなのです。接続方法を限定しなければ、自分の設計した装置に自由なかたちで接続することができ、書きこみのために、パラレルポートやシリアルポートのコネクタをいちいち付け替えなくいてもよくなります。
そして、もっともやりたいことは、Palmにデータを持ち運んで書きこみでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


8 Re^4: フリーなPLDの書きこみツール コメント数:  4件
  いと 2001/02/23 (金) 11:08
こんにちは。最近よく見に来てます。

SRAMタイプのALTERAですが、シリアルROM無くても動作できますよ。
電源切ったら中身が消えるだけで、ボードの電源入れてから毎回ISP
すればよいです。#でないと修正の度にROMが1個要る。
もちろん、MAX7000Sとかのフラッシュタイプは一回書けば電源切っても
消えません。

ALTERAだとシリアルポート経由のビットブラスターを使えば、DOSから
COPYコマンドでISPできたと記憶していますが・・
これではダメなんでしょうか?
このプロジェクトイマイチ理解していないので的を外していたらごめん
なさい。


> > 某社のFPGAは外部にシリアルのROMを必要とします。今もそのはずです。
> SRAMタイプのFPGAて奴ですね。
> AlteraのFLEX,APEX,ACEXとXilinxのSpartan系が有名どころでしょうか。
> AlteraはCPLDと言ってますが…私にはイマイチ区別が付かなかったりします。
> FPGAだと、アンチヒューズ型以外は要る気がしますが…アルテラしか使った
> こと無いので、ウソかも知れません。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


7 Re^4: フリーなPLDの書きこみツール コメント数:  1件
  なひたふ 2001/02/23 (金) 07:10
はじめまして

> AlteraのFLEX,APEX,ACEXとXilinxのSpartan系が有名どころでしょうか。
> AlteraはCPLDと言ってますが…私にはイマイチ区別が付かなかったりします。
ひょっとしたら、誰にも厳密な区別はわからないかもしれません。一応定義はあっても、メーカーもユーザーも好きなように呼んでいるかもしれませんよね。

> 自分で設計したんですがねぇ…取り敢えずマイコンが起動すらしない状態で
> 動かなかったのは、あせりましたが…早速ジャンパが一本飛んだ。
自分で作った基板でマイコンを動かすのは大変ですよね。
マイコンが動いて、FPGA/CPLDの中に自分で作ったレジスタの読み書きができたら、半分は完成したようなものだとおもいます。どんな基板であれ、それくらい大変だったような気がします。
特にリセット関係は間違いの元ですよね。他人の作った過去の資産を流用したりすると、負論理のリセットと正論理のリセットが混在して、しかも名前で区別できなくなっていたりすることってありませんか?
> で、ByteBlasteMVでJTAGでAPEXをコンフィグしたり、EPC2をコンフィグしたり
なるほど。ALTERA系のも考えないといけなさそうですね。

では
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


6 Re^3: フリーなPLDの書きこみツール コメント数:  7件
  soh97   | soh97@lycos.ne.jp 2001/02/23 (金) 01:30
はじめまして、sohと申します。
一応、電子回路設計て名前で、お仕事してます。
でも、実力無いです。

> 某社のFPGAは外部にシリアルのROMを必要とします。今もそのはずです。
SRAMタイプのFPGAて奴ですね。
AlteraのFLEX,APEX,ACEXとXilinxのSpartan系が有名どころでしょうか。
AlteraはCPLDと言ってますが…私にはイマイチ区別が付かなかったりします。
FPGAだと、アンチヒューズ型以外は要る気がしますが…アルテラしか使った
こと無いので、ウソかも知れません。

> そのため、FPGAのコンフィグはこの外部ROMに対するISPということになるかと思います。
今現在、APEXが載った基板を相手に格闘してます。
自分で設計したんですがねぇ…取り敢えずマイコンが起動すらしない状態で
動かなかったのは、あせりましたが…早速ジャンパが一本飛んだ。
リセットスイッチのピン配置を間違えて指定していて、リセットしっぱなし。
スイッチを付けると、永久に動き始めないつう痛いミス…

で、ByteBlasteMVでJTAGでAPEXをコンフィグしたり、EPC2をコンフィグしたり
しています。
面白いです。しかし、よくまともにコンフィグできるわ。
さあて、これから中身の設計じゃ…
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


5 Re^4: フリーなPLDの書きこみツール コメント数:  5件
  なひたふ 2001/02/23 (金) 01:06
> 力不足で、お手伝いもできそうにありませんが
> 楽しみにしております。
ありがとうございます。

現在、JEDファイルを解析して、実際にデバイスに書きこむアドレスとデータのファイルに変換するところまでできました。あとは、JTAGチェーンでつないだ場合の処理が必要です。

コマンドライン用に作っているので、GUIに慣れてしまうと使いづらいですね。やはりGUIな統合環境も必要そうです。統合環境をJAVAでつくれば、移植も楽になるのでしょうか。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


4 Re: 基本から コメント数:  0件
  なひたふ 2001/02/23 (金) 01:02
こんにちは

> PCとのインターフェースやマイコンを使い自作してみたいと
> 考えているものです。
きっと、それは楽しい世界が味わえるとおもいます。是非深入りしてみてください。

> それぞれの役割はわかるのですが、実際の回路図をみると
> なぜここにこの容量の抵抗が入るのだろう?
経験的に覚えるのが手っ取り早いと思います。特にディジタル回路で抵抗を使う場合は、全部のパターンを数え上げても10種類くらいしかならないと思います。
まずは、いろんな回路を見て、抵抗が入る場所と容量の大きさの傾向をつかんでみてください。
市販の抵抗の大きさは0.1Ωから10MΩまで、8桁にも及びますが、ディジタル回路に使う場合は桁だけ覚えておけばよいです。つまり、3.3kΩと1kΩは同じ抵抗だと思ってもいいでしょう。

ほとんど回路における電圧の役割は、情報の伝達です。音声や映像、符号などの情報は電圧で伝えられます。電圧で扱うと便利だからです。
ただし、トランジスタは電流入力で電流出力なので、電圧と電流の変換にオームの法則が必要になります。そのために抵抗を使う場合が多いです。容量はそうやって決めます。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


3 基本から コメント数:  1件
  JUNS   | chibat@iris.ocn.ne.jp 2001/02/22 (木) 23:06
はじめまして!
私は最近、回路関係に興味を持ち始めまして、一から、
というか今現在ほとんど無知なのですが、これから頑張って
PCとのインターフェースやマイコンを使い自作してみたいと
考えているものです。
そこで、思いっきり初心者な質問になってしまうのですが、、、

>電圧[V]、電流[I]、抵抗[R]、の関係について
それぞれの役割はわかるのですが、実際の回路図をみると
なぜここにこの容量の抵抗が入るのだろう?
実際にどのような効果を得るためにそのするだろう?
そして、電流と電圧の回路における役割というか、
頭の中でうまくかみ合わなくて、おはずかしながら
書き込みさせて頂きました。つまらない質問ですみません
何かアドバイス頂けるとうれしいです。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


2 Re^3: フリーなPLDの書きこみツール コメント数:  6件
  IKE   | ikehata@land.hokuriku.ne.jp 2001/02/22 (木) 22:57
IKEです。
いろいろ、発展性もありそうですね。
力不足で、お手伝いもできそうにありませんが
楽しみにしております。
では!
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


1 Re^2: フリーなPLDの書きこみツール コメント数:  15件
  なひたふ 2001/02/21 (水) 22:38
早速のご返事ありがとうございます。
> 1.I/FにUSBも可能なように
USBで書きこみができるようになると、コンフィグ用の電源をUSBから供給できるので便利です。是非実現したいのですがちょっと問題があります。ワンチップマイコンなどでUSBを使うと、それほど速度が上がらないのです。アイソクロナス転送を使えばよいのですが、対応しているチップを使わなければなりません。何とかこれを解決しなければなりません。

> 2.FPGAもコンフィグ可能に
某社のFPGAは外部にシリアルのROMを必要とします。今もそのはずです。
そのため、FPGAのコンフィグはこの外部ROMに対するISPということになるかと思います。もちろん、最終的にはFPGAに対するバウンダリスキャンも行えるようにしたいと思います。
でも、最初のうちはFPGAはバイパスされるのみといたします。
【新規投稿】 【この投稿にリプライ】 【投稿者削除】


より新しい記事へ ← 491 件の投稿中 100件目〜1件目を表示 → より前の記事へ
表示件数: 件/頁 
パスワード:

Copyright(C) 1999 NIFTY Corporation
All Rights Reserved.